• ASML公布2023Q4及全年财报:表现略高于预期,订单积压量减少

    吕嘉俭 发布于2024-01-24 20:25 / 关键字: 阿斯麦, ASML, EUV, 光刻机

    今天,ASML(阿斯麦)公布了2023年第四季度和全年财报。

    在2023年第四季度中,净销售额为72亿欧元(约合人民币561.98亿元),毛利率为51.4%,净利润为20亿欧元(约合人民币156.11亿元),整体表现略高于ASML预期。在2023年第四季度里,净预定量为92亿(约合人民币718.09亿元)欧元,其中56亿欧元(约合人民币437.1亿元)自于EUV系统。在该季度里,ASML没有根据2022-2025年股票回购计划购买任何股票。在该季度里,ASML向客户交付了业界首台High-NA EUV光刻机。

      详细阅读 

    分享
    | 收藏 | 评论

  • ASML公布2023Q3财报:营收符合预期,明年属于过渡年份

    吕嘉俭 发布于2023-10-18 14:32 / 关键字: 阿斯麦, ASML, EUV, 光刻机, DUV

    ASML(阿斯麦)公布了2023年第三季度财报,显示该季度中净销售额为67亿欧元(约合人民币518.55亿元),毛利率为51.9%,净利润为19亿欧元(约合人民币147.05亿元)。

    在2023年第三季度,净预定量为26亿(约合人民币201.23亿元)欧元,其中5亿欧元(约合人民币38.7亿元)自于EUV系统。在该季度里,ASML根据现行的2022-2025年股票回购计划购买了价值约1亿欧元的股票。此外,中期股息为每股普通股1.45欧元(约合人民币11.22元),将于2023年11月10日支付。

      展开阅读 

    分享
    | 收藏 | 评论

  • 受3nm需求低迷影响,ASML明年EUV光刻机出货量或下跌30%

    吕嘉俭 发布于2023-09-28 16:24 / 关键字: 阿斯麦, ASML, EUV, 光刻机

    如果要推进到7nm以下的先进制程工艺,ASML(阿斯麦)的EUV(极紫外光)光刻机可以说是必不可少的制造工具。为此在过去几年里,与EUV相关的设备成为了众多半导体制造商争抢的对象,一直处于供不应求的状态,为此ASML还提高了产量。如果要购买一台EUV光刻机,往往还需要提前数月预订。

    对于EUV光刻机的运用最为成熟的当属台积电(TSMC),这也是其领先于其他竞争对手的关键,目前已推进到3nm制程节点,苹果也在刚刚上市的iPhone 15 Pro系列机型上采用了3nm工艺制造的A17 Pro芯片,不过这并没有带动3nm需求上升。据Wccftech报道,由于苹果即将到来的新款iPad和MacBook Pro等产品的需求下降,加上高通因华为新机型导致3nm芯片订单减少、三星3nm GAA和英特尔20A工艺需求不如预期,预计2024年市场对3nm产能的需求也会随之下降。

      展开阅读 

    分享
    | 收藏 | 评论(5)

  • 台积电要求供应商推迟交付设备,其中包括ASML

    吕嘉俭 发布于2023-09-16 10:06 / 关键字: 台积电, TSMC, 阿斯麦, ASML, 光刻机

    过去一段时间里,台积电(TSMC)的营收受到了全球整体经济不景气、终端市场需求减弱、以及客户不断进行库存调整的影响。虽然台积电在全球多处有新建晶圆厂的工程,不过随着产能需求减弱,最终还是选择削减了资本支出的预算,减缓了部分项目的进度。

    据相关媒体报道,台积电已要求其主要芯片制造工具供应商推迟交付晶圆厂所需要的设备,原因是客户需求存在不确定性,以及位于美国亚利桑那州的在建晶圆厂Fab21的工程面临延期。这些供应商中包括了ASML(阿斯麦),合理推测台积电打算延后接收光刻设备,这也是晶圆厂里最昂贵的工具之一。

      展开阅读 

    分享
    | 收藏 | 评论

  • ASML公布2023Q2财报:DUV光刻设备订单量激增

    吕嘉俭 发布于2023-07-20 14:47 / 关键字: 阿斯麦, ASML, EUV, 光刻机, DUV

    ASML(阿斯麦)公布了2023年第二季度财报,显示该季度中净销售额为69亿欧元(约合人民币555.73亿元),毛利率为51.3%,净利润为19亿欧元(约合人民币153.03亿元)。

    在2023年第二季度,净预定量为45亿(约合人民币362.44亿元)欧元,其中16亿欧元(约合人民币128.87亿元)自于EUV系统。在该季度里,ASML根据现行的2022-2025年股票回购计划购买了价值约5亿欧元的股票。此外,中期股息为每股普通股1.45欧元(约合人民币11.68元),将于2023年8月10日支付。

      展开阅读 

    分享
    | 收藏 | 评论

  • ASML公布2022Q3财报:订单积压超过380亿欧元,新增订单金额再创新高

    吕嘉俭 发布于2022-10-20 16:13 / 关键字: 阿斯麦, ASML, EUV, 光刻机

    ASML(阿斯麦)公布了2022年第三季度财报,显示该季度中净销售额为58亿欧元(约合人民币405.92亿元),毛利率为51.8%,净利润为17亿欧元(约合人民币118.98亿元)。

    ASML首席执行官Peter Wennick表示,2022年第三季度的净销售额和毛利率高于预期,新增订单金额达到了89亿欧元(约合人民币622.88亿元),创下了历史新高,其中38亿欧元来自于EUV系统的订单,包括了High-NA系统。虽然受到了通货膨胀、消费者信心和经济衰退风险等全球宏观经济因素的影响,市场充满了不确定性,细分市场也存在动态和分化,但客户的整体需求依然强劲。

      展开阅读 

    分享
    | 收藏 | 评论(4)

  • ASML公布2022Q2财报:客户需求依然强劲,新增订单金额创新高

    吕嘉俭 发布于2022-07-21 10:13 / 关键字: 阿斯麦, ASML, EUV, 光刻机

    ASML(阿斯麦)公布了2022年第二季度财报,显示该季度中净销售额为54.31亿欧元(约合人民币373.67亿元),毛利率为49.1%,净利润为14.11亿欧元(约合人民币97.08亿元)。

    ASML首席执行官Peter Wennick表示,2022年第二季度的财报显示客户的需求依然强劲,新增订单金额达到了85亿欧元(约合人民币584.83亿元),创下了历史新高,其中54亿欧元(约合人民币371.54亿元)来自0.33NA和0.55NA EUV系统订单以及大量的DUV订单。为了满足客户的产能扩张计划,ASML将在今年剩余时间内加快出货速度,提高计划的出货数量。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 半导体制造设备交付需等待18个月,多重因素叠加影响产能提升

    吕嘉俭 发布于2022-04-08 10:28 / 关键字: 阿斯麦, ASML, EUV, 光刻机

    近两年来,半导体供应链上出现了各种短缺,全球各大半导体制造商都投入了庞大的资金用于产能的扩张,这需要大量采购生产设备。此前已有报道称,由于市场需求旺盛,作为全球最大的光刻机制造商的阿斯麦(ASML)即便再提速,其光刻机年产量仍落后于半导体制造商提出的采购要求,需要在目前基础上提高50%才能满足市场的需求。

      展开阅读 

    分享
    | 收藏 | 评论

  • 光刻机或成为芯片产量提升的主要瓶颈,ASML表示产能需提高50%才能满足市场

    吕嘉俭 发布于2022-03-22 16:11 / 关键字: 阿斯麦, ASML, EUV, 光刻机

    近两年来,芯片短缺成为了热门话题。由于半导体供应链上的短缺,全球各大半导体制造商都投入了庞大的资金用于产能的扩张。这也衍生出另外一个问题,即用于提高产能的半导体生产工具产量是否能满足需求。

    阿斯麦(ASML)是全球最大的光刻机制造商,而光刻机是制造芯片的核心设备。ASML在45nm以下工艺制程的光刻机市场占据了85%的份额,在EUV(极紫外光刻技术)光刻机领域则处于绝对垄断地位,市场占有率100%,这也是推进5nm/7nm工艺节点的关键。即便是DUV(深紫外线光科技术)光刻机,也能满足现阶段不断增长的8英寸晶圆的生产需要。

      展开阅读 

    分享
    | 收藏 | 评论(8)

  • 2020年国内新增6万家芯片相关企业,年增长22%

    吕嘉俭 发布于2020-12-23 18:21 / 关键字: 光刻机

    上周,随着美国商务部(DoC)正式将中芯国际(SMIC)列入实体名单,美国对中国公司的制裁范围进一步扩大。作出这一决定的理由与华为类似,担心使用美国技术制造的最新科技产品流入中国军方。

    另一方面,对华为实施的制裁也为中国发展自己的芯片制造产业注入了新鲜空气,国内投资者向半导体及其相关行业输送了大量资金,相应的估值和投资规模也随之上升。随着2020年即将结束,有媒体通过“天眼查”的数据,分析了今年国内该行业的一些增长情况。

      展开阅读 

    分享
    | 收藏 | 评论(12)

  • 国内28nm光刻机有望明年出货,离半导体自给自足又近了一步

    吕嘉俭 发布于2020-12-07 11:43 / 关键字: 上海微电子, 光刻机

    中国本身有一些相当有竞争力的半导体生产商,但这些公司都使用在其他国家开发和制造的生产设备,如日本、荷兰和美国。

    TomsHardware报道,上海微电子设备公司(SMEE)有望在2021年第四季度之前交付其第二代深紫外(DUV)光刻机。该设备可以使用28nm工艺技术生产芯片,依靠的是国内和日本生产的组件,并不依赖美国的任何制造设备和技术。在现阶段中美贸易战持续进行的情况下,这一点越来越重要。

      展开阅读 

    分享
    | 收藏 | 评论(14)

  • 台积电大规模购买EUV光刻机,以提高产能保持业界领先地位

    吕嘉俭 发布于2020-11-14 11:13 / 关键字: 台积电, TSMC, EUV, 光刻机

    TOMSHARDWARE报道,台积电表示其部署的极紫外光(EUV)光刻工具已占全球安装和运行总量的50%左右,这意味着其使用的EUV机器数量超过了业内其他任何一家公司。为了保持领先,台积电已经下单订购了至少13台ASML的Twinscan NXE EUV光刻机,将会在2021年全年交付,不过具体的交付和安装时间表尚不清楚。同时,明年台积电实际需求的数量可能是高达16到17台EUV光刻机。

    目前,台积电使用ASML的Twinscan NXE EUV光刻机在其N7+以及N5节点上制造芯片,但在未来几个季度,该公司将增加N6(实际上将在2020年第四季度或2021年第一季度进入HVM)以及同样具有EUV层的N5P工艺。台积电对EUV工具的需求正在增加是因为其技术越来越复杂,更多地方需要使用极紫外光刻工具处理。台积电的N7+使用EUV来处理最多4层,以减少制造高度复杂的电路时多图案技术的使用。

      详细阅读 

    分享
    | 收藏 | 评论(9)

  • 超能课堂(217):什么是EUV光刻机?为什么大家都在追求它?

    Strike 发布于2020-02-27 15:22 / 关键字: EUV, 光刻机, ASML, 7nm

    近些年来EUV光刻这个词大家应该听得越来越多,三星在去年发布的Exynos 9825 SoC就是首款采用7nm EUV工艺打造的芯片,台积电的7nm+也是他们首次使用EUV光刻的工艺,苹果的A13和华为麒麟990用的就是这工艺,Intel也打算在他们的7nm节点上切入到EUV工艺,为什么这些半导体巨头们都在追捧EUV工艺呢?

    ASML最新的NEX:3400C光刻机

      详细阅读 

    分享
    | 收藏 | 评论(12)

  • ASML第二季度销售额达到26亿欧元,新款光刻机DRAM芯片产量更高

    唐裕之 发布于2019-07-18 10:37 / 关键字: ASML, 光刻机, 财报

    ASML在昨天公布了今年第二季度财报,当季度销售额达到了26亿欧元,毛利率为43%,净利润为4.76亿欧元。由于EUV光刻机的推动,这个季度ASML签订了10个新订单,其中逻辑芯片生产设备订单占67%,其余的部分则是来源于存储芯片生产设备,这也说明了逻辑芯片的强烈需求。同时在电话会议中,ASML再次强调了其最新一代的EUV光刻机NXE:3400C,在工厂生产条件下每天可以生产超过2000片晶圆。

      详细阅读 

    分享
    | 收藏 | 评论(9)

  • ASML发布Q1季度财报:营收22.3亿欧元,EUV光刻机下半年产能大增 ...

    孟宪瑞 发布于2019-04-18 10:32 / 关键字: ASML, 光刻机, EUV, NXE:3400C

    荷兰ASML公司今天发布了2019年Q1季度财报,当季营收22.3亿欧元,毛利率41.6%,净利润3.55亿欧元。Q1季度中ASML公司出货了4台EUV光刻机,比前一个季度少了1台,不过好消息是ASML下半年会推出新一代EUV光刻机NXE:3400C,这款光刻机的产能将从每小时125片晶圆提升到170片每小时,意味着半导体制造厂的EUV产能会大幅增加,这对三星、台积电来说是个好消息。

      展开阅读 

    分享
    | 收藏 | 评论(2)

1 2 3