• 台积电公布2022Q3财报:毛利率达60.4%,削减资本支出40亿美元

    吕嘉俭 发布于2022-10-17 16:50 / 关键字: 台积电, TSMC

    近日,台积电(TSMC)公布了2022年第三季度业绩,显示收入达到了6131.4亿新台币(约合人民币1379.89亿元),同比增长47.9%,环比增长14.8%。若以美元计算,收入为202.3亿美元,同比增长35.9%,环比增长11.4%,符合原来预期的198亿美元到206亿美元之间的区间。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电明年或有创纪录的资本支出,通过巨额投资以维持行业领先地位

    吕嘉俭 发布于2022-10-10 18:25 / 关键字: 台积电, TSMC

    近期PC行业遭受到宏观经济的冲击,英特尔、英伟达和AMD等企业都受到了重击。虽然台积电(TSMC)在营收方面仍能保持增长,但外界各种不利因素的叠加,加上竞争对手三星在半导体业务上仍有激进的投资举动,将使台积电承受越来越大的压力。

    据相关媒体报道,台积电2023年的资本支出很可能会再创历史新高。目前台积电正在努力应对成本上升及客户需求放缓的问题,以确保产能利用率,维持其资本支出的增长。虽然英特尔推迟发布Meteor Lake,苹果似乎也减慢了先进工艺导入的步伐,但台积电作出该决策的一个关键因素来自于三星。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 传苹果最终答应台积电的涨价要求,AMD出货量下降或影响台积电收入

    吕嘉俭 发布于2022-10-08 10:30 / 关键字: 苹果, Apple, 台积电, TSMC, AMD

    虽然此前有消息称,作为台积电的最大客户,苹果拒绝了台积电(TSMC)明年的涨价要求,不过情况似乎发生了一些变化。据相关媒体报道,苹果已经屈服了,答应支付台积电所要求的额外费用。

    苹果的订单约占台积电年收入的25%,过去数年里,推动了后者在先进半导体制造技术方面研发的工作,有相当的议价权。即便这样,苹果在谈判中也没有占到便宜,台积电并不打算在价格上让步。传闻英伟达也有类似的打算,一直等待苹果和台积电的谈判结果。随着苹果屈服于台积电的要求,英伟达想压低台积电订单价格,从而削减成本的计划基本没什么希望了。

      展开阅读 

    分享
    | 收藏 | 评论(4)

  • 台积电接受客户订单适当缩减或延后,不过拒绝打折

    吕嘉俭 发布于2022-09-30 18:41 / 关键字: 台积电, TSMC

    近日半导体供应链行情反转,上游厂商逐渐受到了波及,在传出英特尔推迟发布Meteor Lake,以及苹果拒绝了台积电(TSMC)的涨价要求后,似乎站在供应链顶端的台积电也不可避免地受到了影响。

    据DigiTimes报道,台积电目前面临不少客户修正2023年订单的请求,除了中小型厂商外,还包括高通、联发科、英伟达和AMD这些名列前十的客户,都与台积电展开协商,希望可以缩减或延后订单。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 传苹果拒绝了台积电6%的涨价要求,或影响A17 Bionic及M3系列芯片的生产

    吕嘉俭 发布于2022-09-28 19:07 / 关键字: 苹果, Apple, 台积电, TSMC

    苹果和英特尔是台积电(TSMC)3nm产能的首批客户,虽然早已传言台积电将量产3nm芯片,不过随着英特尔推迟发布Meteor Lake,不少人已开始担心会减缓台积电3nm产能的扩张计划。

      展开阅读 

    分享
    | 收藏 | 评论(7)

  • 2022Q2排名前十代工厂产值环比增长跌至3.9%,供应短缺潮落幕

    吕嘉俭 发布于2022-09-27 17:21 / 关键字: 台积电, TSMC

    随着消费级电子市场的需求持续走弱,下游经销商和品牌厂商库存压力增大,虽然个别零部件仍有缺货的情况,但为期两年的普遍缺货情况已经结束了,各大品牌厂商应市场行情变化逐步停止了备货。目前有稳定需求的是汽车和工业设备,支撑着产值持续增长。

    TrendForce发布了新的调查报告,显示2022年第二季度中,排名前十的晶圆代工厂的产值达到了332亿美元,不过环比增长幅度已降至3.9%。进入2022年第三季度后,库存调整将全面展开,LDDI/TDDI和电视芯片订单削减量加大,并延伸到非苹果的智能手机AP、PMIC、CIS和中低端MCU,将影响晶圆代工厂的产能利用率,不过新款iPhone一定程度上为低迷的市场注入动力。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 索尼PlayStation 5配备AMD升级款6nm SoC,名为“Oberon Plus”

    吕嘉俭 发布于2022-09-26 12:45 / 关键字: 索尼, Sony, PlayStation 5, PS5, 台积电, TSMC

    自PlayStation 5发售以后,索尼已经多次对其内部结构进行了修改,主要为了减轻重量或者降低功耗。近期开始销售的新版PlayStation 5,光驱版型号为CFI-1202A,数字版型号为CFI-1202B,对应重量为3.9kg和3.4kg,分别比现有的版本(CFI-1102A/B)轻了300g和200g,比最初发布的版本分别轻了600g和500g。

    据Angstronomics报道,索尼在CFI-1202系列PlayStation 5游戏主机做了更大的改动。与之前的两款不同的是,CFI-1202系列PlayStation 5游戏主机采用了新版AMD定制SoC,启用了台积电(TSMC)6nm工艺制造,名为“Oberon Plus”(目前7nm版名为Oberon)。事实上,很早之前就有报道称,索尼定制的SoC将改成6nm工艺制造,用于新版PlayStation 5上,只不过比预想中还更快一些。

      展开阅读 

    分享
    | 收藏 | 评论(7)

  • AMD高层计划拜访相关合作伙伴,将与台积电商讨2nm/3nm芯片订单

    吕嘉俭 发布于2022-09-22 11:00 / 关键字: AMD, 台积电, TSMC

    虽然AMD的CPU和GPU产品线才刚开始向5nm制程节点切换,不过开发中的下一代芯片需要更先进的工艺支持,虽然还有不少时间,不过AMD似乎已经开始为未来的产品做相关的配套准备工作了。

    据DigiTimes报道,AMD首席执行官苏姿丰博士将率领一众高管,计划于今年9月底至11月初期间拜访相关合作伙伴,主要涉及芯片制造、封装、以及PC厂商,显然台积电(TSMC)是其中的重点对象。预计苏姿丰会在10月初抵达中国台湾,期间会与台积电首席执行官魏哲家会面,双方的主要讨论话题是未来台积电3nm和2nm制程节点的合作计划,包括了各类可用的工艺,传言AMD打算使用N3P工艺。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 研究机构对中国台湾地区半导体及面板行业做震后评估:影响有限,库存充足

    吕嘉俭 发布于2022-09-20 14:38 / 关键字: 台积电, TSMC, 联华电子, UMC, 联电

    此前中国台湾地区连续发生地震,作为半导体行业的重镇,这类型自然生态灾难或多或少会影响世界半导体的供应。继近期的强震后,TrendForce对中国台湾地区半导体及面板产业做了相关的评估

    对于晶圆代工厂而言,由于采用了减震设计,工厂内部的震动会比外部小一级,暂时也没有厂商报告生产设施出现损坏。现阶段最坏的情况是,厂商需要在设备系统崩溃后进行初始化操作。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 明年苹果M3和A17 Bionic或采用台积电N3E工艺,性能更优且更省电

    吕嘉俭 发布于2022-09-14 15:42 / 关键字: 苹果, Apple, 台积电, TSMC

    苹果的芯片正准备迈向3nm制程节点,不过苹果在具体工艺的选择上仍有待商榷。虽然台积电(TSMC)计划在今年下半年量产第一代N3工艺,同时英特尔因Meteor Lake延期空出产能,不过苹果似乎有所保留,并没有选择大规模下单。

    据Nikkei报道,苹果目前的目标是成为明年首家使用台积电N3E工艺的厂商,用在M3和A17 Bionic上,这属于第二代N3工艺,从侧面上反映了第一代N3工艺并没那么受欢迎。M3将用于未来的Mac和iPad产品,而A17 Bionic会用于iPhone 15 Pro和iPhone 15 Pro Max。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电将在2024年引入High-NA EUV光刻机,或用于2025年2nm芯片生产

    吕嘉俭 发布于2022-09-14 11:34 / 关键字: 台积电, TSMC

    台积电(TSMC)的目标是2025年量产其N2工艺,而现阶段主要是其他N3工艺的产量和良品率,这被认为是世界上最先进的芯片制造技术之一。随着英特尔Meteor Lake延期,以及N3工艺的效能未让苹果满意,台积电很可能放弃N3工艺,将重点转移到明年量产的N3E工艺,这属于第二版3nm制程。

    虽然台积电短期内的工艺推进计划似乎受到了一些挫折,不过并没有影响其技术的研发,近期台积电负责研发和技术的高级副总裁YJ Mii博士分享了更多的信息。据Wccftech报道,台积电下一阶段将转向具有更大镜头的机器,计划在2024年引入High-NA EUV光刻机,一般认为会用于2nm芯片的制造上。

      展开阅读 

    分享
    | 收藏 | 评论

  • 投资机构下调台积电目标股价,对3nm工艺仍保持乐观态度

    吕嘉俭 发布于2022-09-03 11:40 / 关键字: 台积电, TSMC

    近期有报道称,英特尔推迟了在台积电(TSMC)3nm工艺的订单,导致后者产能利用率不足,影响了先进工艺产能扩张计划。英特尔此举还可能影响台积电的营收,虽然在2023年仍会继续增长,只是增速会减慢,不过可以缓解台积电近期较大的成本摊销压力。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电表示芯片短缺扰乱市场,一颗小芯片就能导致上亿美元的设备无法出货

    吕嘉俭 发布于2022-08-31 11:04 / 关键字: 台积电, TSMC

    现代的机械需要大量的芯片来实现高级功能,几乎所有不同类型的设备都会使用电子元件,尤其是逻辑芯片。不过可能会存在一个问题,一颗几块钱的小芯片可能就会影响一台数十万元的汽车,甚至是上亿美元的设备。

    根据Statista统计的数据,2021年全球汽车制造业的收入为2.86万亿美元,每辆汽车都使用数百个芯片,随着自动驾驶变得更普遍,预计这一数字将在未来几年内增加到1500多个。由于汽车产业规模庞大,加上需要的芯片种类和数量繁多,意味着以后会更加依赖半导体行业和芯片供应,所以现在的汽车厂商比以往更注重芯片的供应问题。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 报告称AMD已成为台积电5nm工艺的第二大客户,比英特尔更能应对PC行业低迷

    吕嘉俭 发布于2022-08-25 12:48 / 关键字: AMD, 台积电, TSMC

    此前AMD官方已发出公告,宣布会在美国东部时间2022年8月29日晚上7点(北京时间为8月30日早上7点)举办名为“together we advance_PCs”的直播活动,公布下一代AMD的PC产品。随着基于Zen 4架构的Ryzen 7000系列桌面处理器即将到来,AMD将在台积电(TSMC)的5nm工艺上大量下单。

      展开阅读 

    分享
    | 收藏 | 评论(6)

  • 台积电3nm工艺将在下个月量产,2023H1开始为营收做贡献

    吕嘉俭 发布于2022-08-18 14:40 / 关键字: 台积电, TSMC

    上个月,三星在京畿道华城工厂V1生产线,举行了采用下一代GAA(Gate-All-Around)架构晶体管技术的3nm代工产品发货仪式。台积电(TSMC)作为第一大晶圆代工厂,其3nm工艺的生产也提上了日程。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  8 9 10 11 12 13 14 15 16 17 ...38