E X P

关于 TSMC 的消息

2024年3nm工艺将占台积电收入20%以上,英特尔和AMD都会下单

今年1月,台积电(TSMC)公布了2023年第四季度业绩,显示3nm制程节点的产量大幅度攀升,收入占比已从上一个季度的6%提高至15%。毫无疑问,这都来自于苹果这一个客户,生产A17 Pro和M3系列等多款芯片。

台积电将投资160亿美元,新建六座CoWoS封装设施

台积电(TSMC)正经历前所未有的人工智能(AI)芯片的需求,市场对英伟达H100这样的数据中心GPU的需求大幅度提高,为此不断针对性地兴建新设施,以满足客户的订单需求。目前台积电似乎专注于CoWoS封装领域,商讨新的扩建计划。

台积电和新思科技启用英伟达计算光刻平台进行生产,加速下一代先进芯片制造

英伟达宣布,台积电(TSMC)和新思科技(Synopsys)两大半导体行业巨头将使用计算光刻平台进行生产,加速制造并突破下一代先进半导体芯片的物理极限。目前新思科技已经将名为“cuLitho”的计算光刻库与其软件、制造工艺和系统集成,以加快芯片制造速度,并在未来支持最新一代Blackwell架构GPU。

台积电4月将面临30%的电价上涨,或最终影响芯片价格

对于工业生产来说,稳定且低廉的工业用电供应至关重要。很长时间以来,中国台湾的工业用电在全球范围内都处于较低的位置,一定程度上促进了当地的半导体产业发展。不过据TrendForce的报道,为了保证当地电力公司的财务状况,计划今年4月初开始上调当地的住宅和工业用电价格,而台积电(TSMC)作为用电大户,自然会受到较大的影响。

2023Q4排名前十晶圆代工厂营收环比增长7.9%,全年收入达1115.4亿美元

根据TrendForce最新的统计数据,显示受惠于智能手机拉动零部件备货,加上苹果新款设备带动周边零部件,推动2023年第四季度前十晶圆代工厂的营收增长,环比增长7.9%至304.9亿美元,其中前五大晶圆代工厂的产值占比扩大至88.8%。

台积电确认2023年从中国大陆和日本获得补贴:共新台币475.45亿元,增长5.74倍

近年来,各种因素推动各国积极地在本地建立半导体制造业,为此提供了多种补贴。众所周知,代工第一大厂台积电(TSMC)目前正在全球多处建造新的晶圆厂

英伟达已是台积电第二大客户,2023年占后者营收的11%

虽然台积电(TSMC)不会主动披露其客户的业务细节,不过由于其股票凭证同时也在美国纽约证券交易所以TSM为代号挂牌上市,所以根据当地法律法规要求,如果有客户占其收入的10%以上,必须予以披露。

苹果开始开发基于台积电2nm工艺的芯片,预计在2025年到来

苹果去年在主题为“Scary Fast”的2023年第二场秋季新品发布会上,发布了M3、M3 Pr和M3 Max芯片。这是业界首批个人电脑使用的3nm芯片,可将更多晶体管封装于更小的芯片空间中,实现速度和能效的双重提升。

台积电日本工厂正式启用,并计划2024年底开始量产

由台积电(TSMC)、索尼、电装(DENSO)株式会社及丰田合作组建的日本先进半导体制造公司(JASM),于2022年4月在日本九州岛的熊本县开始建设新的生产基地。该项目也得到了日本政府的支持,并提供了补贴。

台积电3/5nm产能提前接近满载,2024Q4将试产2nm生产线

上个月台积电(TSMC)公布了2023年第四季度业绩,显示3nm制程节点的产量大幅度攀升,收入占比已从上一个季度的6%提高至15%。这也让台积电2023年的业绩逆风维稳,从而对2024年的业绩展望注入了强心剂。不仅调高了季度营收预期,全年营收预计会有超过20%的增长。

台积电计划将3nm月产能提高至10万片晶圆,在日本追加建造第二座工厂

上个月台积电(TSMC)公布了2023年第四季度业绩,显示3nm制程节点的产量大幅度攀升,收入占比已从上一个季度的6%提高至15%。毫无疑问,这都来自于苹果这一个客户,生产A17 Pro和M3系列等多款芯片。

传SK海力士与台积电组成AI芯片联盟,将共同开发HBM4

HBM产品被认为是人工智能(AI)计算的支柱之一,近两年行业发展迅速。在人工智能和高性能计算(HPC)的影响下,推动着存储器厂商的收入增长。作为英伟达高带宽存储器合作伙伴,SK海力士目前在HBM市场的处于领导地位。此前有报道称,SK海力士将在2026年大规模生产HBM4,用于下一代人工智能芯片。

台积电或2030年才采用High-NA EUV光刻机,用于制造1nm芯片

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。

台积电今年CoWoS封装产能将翻倍,AI服务器带动业绩增长

过去的几个月里,以ChatGPT为首的人工智能(AI)工具兴起,对英伟达A100和H100这样的数据中心GPU的需求大幅度提高,这让负责制造及封装的台积电(TSMC)在先进封装方面的产能变得紧张,不得不紧急扩大2.5D封装产能,以满足不断增长的需求。

苹果将成为台积电2nm首批客户,预计会在iPhone 17系列首发

去年苹果发布了多款3nm芯片,比如M3系列,也带动了台积电(TSMC)3nm产能的拉升,获得的巨大收益也很快便反映到台积电的季度财报上。为了进一步提高iPhone和Mac的计算和图形性能,苹果已开始了下一代芯片的研发工作。

加载更多
热门文章
1华硕发布RT-BE88U Wi-Fi 7双频路由器:10网口配置,无线速率达7200Mbps
2九州风神推出PN D/M系列电源:支持ATX 3.1规范,双金牌认证,399元起
3小米SU7正式发布,售价21.59万元起
4微星Z790 PROJECT ZERO上市:ATX背插主板,售价2599元
5TRYX创氪星系品牌发布会:推出AMOLED屏水冷及海景房机箱
6Thermaltake推出钢炼 S250 TG ARGB机箱:支持5槽厚显卡竖装及前置420冷排
7乔思伯TF2-360SC一体式水冷散热器上架:冷头带IPS屏,无风扇版售价749元
8先马趣造G65键盘体验:沉醉在磁轴带来的灵敏精准触感
9一加 Ace 3V 手机体验:首发第三代骁龙7+,为中端机型带来代际升级