E X P

关于 High-NA EUV 的消息

三星认为High-NA EUV有利于逻辑芯片制造,但存储器或面临成本问题

近日在美国加利福利亚州圣何塞举行的SPIE先进光刻技术会议上,来自光刻生态系统不同部门的专家讨论了Low-NA和High-NA EUV光刻技术的前景,观点从高度乐观到谨慎,特别是High-NA EUV方面,三星表达了担忧。

英特尔提交德国新建晶圆厂示意图:安装High-NA EUV光刻机,2027Q4投入使用

去年6月,英特尔与德国联邦政府达成了协议,双方宣布签署了一份修订的投资意向书,计划投资超过300亿欧元,在马格德堡兴建两座新的晶圆厂。德国联邦政府已同意提供100亿欧元补贴,包含了来自《欧洲芯片法案》和来自政府的激励措施及补贴。

High-NA EUV光刻机价值3.8亿美元,ASML已收到10至20台订单

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。新设备的体积非常巨大,需要使用13个集装箱和250个板条箱来进行运输,将从荷兰的费尔德霍芬运送到美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地,另外还需要250名工程师并花费6个月完成安装。

台积电或2030年才采用High-NA EUV光刻机,用于制造1nm芯片

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。

ASML向英特尔交付首台High-NA EUV光刻机,售价可能达到4亿美元

2022年初,ASML宣布与英特尔的长期合作进入了新的阶段,双方将携手推进半导体光刻前沿技术。英特尔也向ASML发出了购买业界首个TWINSCAN EXE:5200系统的订单,这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,为双方长期的High-NA EUV技术合作搭建框架。

三星与ASML达成协议:获得High-NA EUV光刻设备技术的优先权

近年来,ASML站到了世界半导体技术的中心位置。目前ASML有序地执行其路线图,在EUV之后是High-NA EUV技术,ASML正在为客户交付首台High-NA EUV光刻机做准备,预计会在未来几个月内交付。

英特尔计划开发14A和10A工艺:将使用High-NA EUV光刻技术

2021年7月的“英特尔加速创新:制程工艺和封装技术线上发布会”上,英特尔CEO帕特-基尔辛格(Pat Gelsinger)展示了一系列底层技术创新。按照英特尔的计划,至2025年将发布Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A工艺,其中Intel 7已应用在Alder lake和Raptor Lake上。

ASML首席技术官认为当前光刻技术或走到尽头,High-NA EUV可能成为终点

近年来,ASML站到了世界半导体技术的中心位置。去年ASML两次提高了生产目标,希望到2025年,其年出货量能达到约600台DUV(深紫外光)光刻机以及90台EUV(极紫外光)光刻机。由于持续的芯片短缺,交付问题每天都在发生,而且ASML还遇到了柏林工厂火灾这样的意外。

台积电将在2024年引入High-NA EUV光刻机,或用于2025年2nm芯片生产

台积电(TSMC)的目标是2025年量产其N2工艺,而现阶段主要是其他N3工艺的产量和良品率,这被认为是世界上最先进的芯片制造技术之一。随着英特尔Meteor Lake延期,以及N3工艺的效能未让苹果满意,台积电很可能放弃N3工艺,将重点转移到明年量产的N3E工艺,这属于第二版3nm制程。

英特尔订购业界首个TWINSCAN EXE:5200系统,与ASML推进High-NA EUV技术

ASML宣布与英特尔的长期合作进入了新的阶段,双方将携手推进半导体光刻前沿技术。目前英特尔已经向ASML发出第一份采购订单,用于购买业界首个TWINSCAN EXE:5200系统。这是一种具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,为双方长期的High-NA EUV技术合作搭建框架。

High-NA EUV光刻机价值3.8亿美元,ASML已收到10至20台订单

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。新设备的体积非常巨大,需要使用13个集装箱和250个板条箱来进行运输,将从荷兰的费尔德霍芬运送到美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地,另外还需要250名工程师并花费6个月完成安装。

台积电或2030年才采用High-NA EUV光刻机,用于制造1nm芯片

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。

ASML回击High-NA光刻机过于昂贵的指责,称新技术是最具成本效益的解决方案

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机,从荷兰的费尔德霍芬运送到美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地,并在接下来的几个月内完成安装。据了解,每台High-NA EUV光刻机的成本约在3到4亿美元。

ASML向英特尔交付首台High-NA EUV光刻机,售价可能达到4亿美元

2022年初,ASML宣布与英特尔的长期合作进入了新的阶段,双方将携手推进半导体光刻前沿技术。英特尔也向ASML发出了购买业界首个TWINSCAN EXE:5200系统的订单,这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,为双方长期的High-NA EUV技术合作搭建框架。

三星与ASML达成协议:获得High-NA EUV光刻设备技术的优先权

近年来,ASML站到了世界半导体技术的中心位置。目前ASML有序地执行其路线图,在EUV之后是High-NA EUV技术,ASML正在为客户交付首台High-NA EUV光刻机做准备,预计会在未来几个月内交付。

加载更多
热门文章
1英特尔透露Copilot本地运行条件:至少需要40 TOPS算力的NPU
2英特尔Lunar Lake MX参考平台曝光:8核心CPU及GPU,集成LPDDR5X内存
3矽速科技开发中的新掌机十分小巧,基于FPGA芯片打造
4华硕发布RT-BE88U Wi-Fi 7双频路由器:10网口配置,无线速率达7200Mbps
5京东方凭定价赢得苹果OLED订单,或成为第四代iPhone SE独家面板供应商
6两个版本的微星Claw性能对比:酷睿Ultra 5的游戏性能几乎与酷睿Ultra 7相同
7九州风神推出PN D/M系列电源:支持ATX 3.1规范,双金牌认证,399元起
8《双人成行》销量突破1600万份,开发团队表示玩家的支持意味着一切
9微星推出MAG 274UPF E2游戏显示器:4K@160Hz,采用Rapid IPS面板