E X P

关于 euv 的消息

ASML瞄准下一代Hyper-NA EUV技术:2030年左右提供新的光刻设备

近年来,ASML站到了世界半导体技术的中心位置,成为了先进半导体生产供应链的关键一环。目前ASML有序地执行其路线图,在EUV之后是High-NA EUV技术,去年末已向英特尔交付了业界首台High-NA EUV光刻机。虽然业界才刚刚准备迈入High-NA EUV时代,但是ASML已经开始对下一代Hyper-NA EUV技术进行研究,寻找合适的解决方案。

台积电迈向High-NA EUV时代,ASML证实年内将交付新设备

此前有报道称,近期台积电(TSMC)首席执行官魏哲家访问了ASML的总部,同时也拜访了激光供应商Trumpf,与对方的高层会面。台积电似乎改变了之前对High-NA EUV光刻技术的一些看法,或许会加快引入新技术和新设备的步伐。

Rapidus已向IBM派遣100名工程师:学习使用EUV设备,进行2nm工艺开发

Rapidus是由索尼、丰田、NTT、三菱、NEC、铠侠和软银等八家日本企业于2022年成立的合资企业,旨在实现本地化先进半导体工艺的设计和制造。Rapidus已在2022年底与IBM签署了技术授权协议,计划其位于日本北海道千岁市的晶圆厂在2025年启动生产线,试产2nm芯片,并在2027年开始实现批量生产。

台积电CEO访问ASML总部,或改变对High-NA EUV光刻技术的态度

前一段时间,台积电(TSMC)举办了2024年北美技术论坛,首次公布了A16制程工艺,将结合纳米片晶体管和背面供电解决方案,以提升逻辑密度和能效,预计2026年量产。随后台积电确认,A16制程工艺并不需要下一代High-NA EUV光刻系统的参与。

ASML每年大概生产5台High-NA EUV光刻机,其中2025H1前大部分送往英特尔

上个月英特尔晶圆代工(Intel Foundry)宣布,已在美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地完成了业界首台High-NA EUV光刻机组装工作。随后开始在Fab D1X进行校准步骤,为未来工艺路线图的生产做好准备。

三星电子会长访问蔡司总部,将扩大在EUV技术和先进半导体设备的合作

三星官方发布公告,德国当地时间26日,三星电子李在镕近期访问了位于巴登符堡州奥伯科亨市的蔡司总部,并与蔡司首席执行官Karl Lamprecht等高管就加强双方的合作进行了讨论。双方同意进一步扩大在EUV技术和先进半导体设备方面的合作,以加强晶圆代工和存储器业务的竞争力。

台积电表示A16工艺不需要High-NA EUV参与,A14工艺研发进展顺利

近日台积电(TSMC)举办了2024年北美技术论坛,揭示了其最新的制程技术、先进封装技术、以及三维立体电路(3D IC)技术,以驱动下一代人工智能(AI)的创新。其中台积电首次公布了A16制程工艺,将结合纳米片晶体管和背面供电解决方案,以提升逻辑密度和能效,预计2026年量产。

ASML称High-NA EUV光刻机已印刷首批图案,并向新客户交付第二台同类设备

近日ASML(阿斯麦)表示,本月将向第二位客户交付High-NA EUV光刻机,安装工作也即将开始。不过ASML并没有透露,具体交付给哪一家公司。其提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。

英特尔宣布完成业界首台High-NA EUV光刻机组装工作,目前正在进行校准步骤

英特尔晶圆代工(Intel Foundry)宣布,在先进半导体制造领域取得了一个关键的里程碑,已在美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地完成了业界首台High-NA EUV光刻机组装工作。目前英特尔正在Fab D1X进行校准步骤,为未来工艺路线图的生产做好准备。

ASML已交付第三代EUV光刻机,可用于制造2nm芯片

最近ASML(阿斯麦)交付了第三代极紫外(EUV)光刻工具,新设备型号为Twinscan NXE:3800E,配备了0.33数值孔径透镜。相比于之前的Twinscan NXE:3600D,性能有了进一步的提高,可以支持未来几年3nm及2nm芯片的制造。

三星认为High-NA EUV有利于逻辑芯片制造,但存储器或面临成本问题

近日在美国加利福利亚州圣何塞举行的SPIE先进光刻技术会议上,来自光刻生态系统不同部门的专家讨论了Low-NA和High-NA EUV光刻技术的前景,观点从高度乐观到谨慎,特别是High-NA EUV方面,三星表达了担忧。

英特尔提交德国新建晶圆厂示意图:安装High-NA EUV光刻机,2027Q4投入使用

去年6月,英特尔与德国联邦政府达成了协议,双方宣布签署了一份修订的投资意向书,计划投资超过300亿欧元,在马格德堡兴建两座新的晶圆厂。德国联邦政府已同意提供100亿欧元补贴,包含了来自《欧洲芯片法案》和来自政府的激励措施及补贴。

ASML探索Hyper-NA EUV光刻机可行性,将成为2030年之后的新愿景

近年来,ASML站到了世界半导体技术的中心位置,成为了先进半导体生产供应链的关键一环。目前ASML有序地执行其路线图,在EUV之后是High-NA EUV技术,去年末已向英特尔交付了业界首台High-NA EUV光刻机。

High-NA EUV光刻机价值3.8亿美元,ASML已收到10至20台订单

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。新设备的体积非常巨大,需要使用13个集装箱和250个板条箱来进行运输,将从荷兰的费尔德霍芬运送到美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地,另外还需要250名工程师并花费6个月完成安装。

台积电或2030年才采用High-NA EUV光刻机,用于制造1nm芯片

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。

加载更多
热门文章
1虚幻5游戏优化过于依赖超分技术,开发者称是引擎设计问题
2Minisforum推出UM880 Pro迷你PC:搭载R7 8845HS,售价2899元起
3Asetek暂停发布2024年收入和盈利指引,引发投资者担忧导致股价暴跌40%
4技嘉发布AORUS Gen5 14000系列SSD:速度达14.5GB/s,最高4TB容量
5三星 Galaxy Tab S10 Plus平板跑分曝光:弃“龙”投“玑”,性能可观
6618精选:联力机箱特惠来袭,包豪斯O11D EVO RGB降至千元内
7ASML瞄准下一代Hyper-NA EUV技术:2030年左右提供新的光刻设备
8Epic平台免费领取《Redout 2》等, 至6月20日23点截止
9Synopsys推出业界首个PCIe 7.0的完整IP解决方案:加速HPC和AI芯片设计