E X P

关于 Samsung 的消息

NAND闪存市场呈现复苏迹象,未来格局或生变

TrendForce表示,随着存储器供应商连续减产取得成效,存储产品的价格正在反弹,半导体存储器市场终于出现了复苏的迹象。从市场动态和需求变化来看,NAND闪存作为两大存储器产品之一,正在经历新一轮的变化。

三星成立新的跨部门联盟,加速研发玻璃基板芯片封装

由于市场对人工智能(AI)的需求持续高涨,相关产品对先进封装技术的需求也在迅速增长,使得各个晶圆代工厂和芯片制造商更加重视封装技术和产品方面的投入,比如最近SK海力士宣布,在韩国投资10亿美元建造先进封装设施。

三星已获得AMD验证,将向Instinct MI300系列供应HBM3

2024年高带宽存储器(HBM)市场仍然以HBM3为主流,不过英伟达即将到来的H200和B100将更新至HBM3E。由于人工智能(AI)需求高涨,导致英伟达及其他供应商的相关芯片供应一直处于紧张的状态,除了CoWoS封装是产能的瓶颈外,HBM也逐渐成为供应上的制约点。相比于普通的DRAM,HBM生产周期更长,从投片产出到完成封装需要两个季度以上。

三星HBM芯片良品率偏低,导致AI订单争夺中处于下风

在去年刮起的人工智能(AI)浪潮中,高带宽存储器(HBM)和先进封装逐渐成为了半导体巨头们新的战场。目前SK海力士在HBM市场的处于领导地位,凭借对英伟达AI GPU的HBM3订单,占据了HBM市场54%的份额。原本排在SK海力士和三星后面的美光,凭借更好的工艺,率先获得了英伟达用于新款H200的HBM3E订单,看到了赶超的希望。

华为有望首次超越三星,在2024Q1可折叠手机市场登顶

自2019年推出首款可折叠机型Galaxy Fold以来,三星从2020年至2023年之间,每年都会发布新款Galaxy Z Fold/Flip系列产品,目前已来到Galaxy Z Fold 5/Flip 5。虽然已经有不少竞争对手加入,推出了相当数量的可折叠机型,但是三星依然占据一定的优势,一直统治着这一细分市场。

三星认为High-NA EUV有利于逻辑芯片制造,但存储器或面临成本问题

近日在美国加利福利亚州圣何塞举行的SPIE先进光刻技术会议上,来自光刻生态系统不同部门的专家讨论了Low-NA和High-NA EUV光刻技术的前景,观点从高度乐观到谨慎,特别是High-NA EUV方面,三星表达了担忧。

三星曾考虑将联发科天玑9000用于Galaxy S系列,供应量不足致双方未能达成协议

联发科(MediaTek)在2021年末,推出了名为天玑9000(Density 9000)的旗舰SoC,重新冲击高端。这不仅是第一款采用ARMv9架构的SoC,也是第一款支持7500 MT/s的LPDDR5X内存的SoC。从过去两年多的情况来看,天玑9000让市场重新关注联发科,也争取到了不少的市场份额。

经过长时间与库存及需求的纠缠,三星DRAM业务时隔5个季度实现盈利

作为全球最大的芯片制造商之一,过往半导体业务一直是三星摇钱树。不过去年全球存储芯片陷入了前所未有的低迷,让三星损失惨重,连续数个季度里一直在与库存及市场需求作斗争,DRAM业务陷入了连续亏损。由于库存负担过重、需求低迷、价格下滑,最终三星不得不通过减产等手段,将管理重点放在了盈利能力上,但是进展一直不太顺利。

三星仍需解决3nm工艺良品率问题,目前在50%附近徘徊

近年来,良品率一直是三星晶圆代工业务所要面对的最大问题。特别是在3nm制程节点上,三星率先引入了全新的下一代GAA(Gate-All-Around)架构晶体管技术,与以往使用的FinFET晶体管技术有着较大的区别,也使得良品率问题进一步放大。

三星决定为第二代3nm工艺改名:“SF3”将重新命名为“SF2”?

此前三星公布了到2027年的制程技术路线图,列出了2022年6月量产SF3E(3nm GAA,3GAE)以后的半导体工艺发展计划,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原计划,今年三星将带来第二代3nm工艺技术,也就是SF3,使用“第二代多桥-通道场效应晶体管(MBCFET)”,传闻已经在试产。

英特尔尝试挖走三星的客户,以尽快取代对方成为世界第二代工厂

2022年,时任英特尔代工服务部总裁Randhir Thakur在接受媒体采访时明确表示,希望到2030年能成为全球第二大晶圆代工服务制造商,仅次于台积电(TSMC),并在利润率方面可以领先。

三星在新款Exynos芯片上全力以赴,或独占Galaxy S系列的SoC供应

在2022年,高通与三星之间建立了新的合作伙伴关系,双方从2023年开始签署了一项新协议,将骁龙平台的使用范围进一步扩大,更多地应用到三星未来高端Galaxy产品线中,除了智能手机,还包括个人电脑、平板电脑、虚拟现实等产品。上个月高通在财报电话会议上,确认与三星延长了一项有关骁龙平台的多年协议,从2024年三星旗舰Galaxy智能手机的发布开始。

三星推出新款高性能和大容量microSD卡:读取速度达800MB/s,提供1TB产品

三星宣布,推出新一代microSD卡,包括顺序读取速度达800MB/s的256GB SD Express microSD卡和1TB UHS-1 microSD卡,目标是提供未来移动计算和设备上人工智能(AI)应用所需的差异化内存解决方案。

三星计划2025年引入背面供电技术:采用BSPDN打造2nm芯片,进一步提高能效

三星在SEDEX 2022上,介绍了一种称为“BSPDN(背面供电网络)”的技术,称经过后端互联设计和逻辑优化,可以解决FSPDN(前端供电网络)造成的前端布线堵塞问题,2nm芯片的性能将提高44%,功率效率提高30%。在去年的VLSI研讨会上,三星又公布了最新的BSPDN研究成果,表示BSPDN相比FSPDN的面积可以减小14.8%。

三星官宣业界首款36GB HBM3E 12H DRAM:12层堆叠,容量和带宽提升50%

去年10月,三星举办了“Samsung Memory Tech Day 2023”活动,展示了一系列引领超大规模人工智能(AI)时代的创新技术和产品,并宣布推出名为“Shinebolt”的新一代HBM3E DRAM,面向下一代人工智能应用,提高总拥有成本(TCO),并加快数据中心的人工智能模型训练和推理速度。

加载更多
热门文章
12024年2月中国大陆主板出货量:圣旗取代映泰进入前八
2华硕Z790 HERO BTF主板海外上市:售价799欧元,支持600W显卡供电
3英伟达Blackwell架构B100细节泄露:将配备192GB的8层堆叠HBM3E
4武汉新芯启动HBM项目:建立生产线,瞄准AI和HPC应用
5Acer推出新款Radeon RX 7900 GRE,包括BiFrost和Nitro系列
6华硕ROG NUC海外上架:Ultra 9 185H+RTX 4070版本售价2499欧元
7优派VX2781-4K-PRO-6显示器开卖:4K@165Hz+双Type-C+IGZO技术,5199元
8ASML已交付第三代EUV光刻机,可用于制造2nm芯片
9超频三黑海RZ400 V2散热器预售:“三角几何”设计,4热管风冷,首发119元起