E X P

关于 台积电 的消息

台积电称今年3nm产能吃紧,增产两倍多仍然不能满足客户需求

据Trendforce报道,台积电(TSMC)在近日举办的一次技术论坛上表示,得益于高性能计算(HPC)和智能手机的需求增加,今年3nm制程节点的产能相比去年增长了两倍多,但实际上仍然不够用,还在努力地想办法满足客户的需求。

随着大规模转移订单到台积电,高通不再是三星的五大主要客户

很长时间以来,高通一直是三星的五大收入来源之一。不过随着近两年高通将大量订单转移到台积电(TSMC),逐步退出了三星的主要客户名单,这多少让三星感到焦虑,也是为什么急于开始量产第二代3nm GAA工艺的主要原因之一,希望借此能争取高通重回谈判桌。

台积电CoWoS产能告急,无法满足AI GPU需求

由于前所未有的人工智能(AI)芯片的需求,市场对英伟达H100这样的数据中心GPU的需求大幅度提高,为此台积电(TSMC)不断针对性地兴建新设施,以满足客户的订单需求。随着今年英伟达新一代Blackwell架构GPU的到来,市场对CoWoS封装的需求有增无减。

苹果高层到访台积电,讨论2nm芯片订单

经过了过去多年的合作,台积电(TSMC)已经与苹果建立了紧密的合作关系,以确保提供最先进半导体制造工艺。在台积电最新的3nm制程节点上,苹果是首个下单的客户,去年带来了A17 Pro和M3系列芯片,今年则推出了M4,这是首个第二代3nm工艺制造的芯片。

台积电打算将特殊制程产能提升50%,并推出N4e超低功耗工艺

随着德国和日本新建晶圆厂项目的落实,以及中国大陆晶圆厂的产能扩张,台积电(TSMC)计划到2027年,将自身的特殊制程产能提升50%。台积电不仅仅满足于将现有生产线转换到特殊制程,甚至还另外加建新的生产线,推动这一需求的主要原因之一,是新一代特殊制程工艺的到来。

台积电确认德国晶圆厂建设时间:按计划今年第四季度开工,2027年投产

去年8月,台积电(TSMC)宣布公司董事会已核准在德国兴建半导体工厂的计划,将与博世、英飞凌和恩智浦半导体共同投资位于德国德累斯顿的欧洲半导体制造公司(ESMC),以提供先进的半导体制造服务。其中台积电将占有合资公司70%的股权,其余博世、英飞凌和恩智浦半导体三家各占10%的股权。

台积电准备HBM4基础裸片:将采用N5和N12FFC+工艺制造

上个月,SK海力士宣布与台积电(TSMC)签署了谅解备忘录(MOU),双方就下一代HBM产品生产和加强整合HBM与逻辑层的先进封装技术密切合作。SK海力士计划与台积电合作开发第六代HBM产品,也就是HBM4。据了解,台积电将生产用于HBM4的基础裸片(Base Die),这是双方针对搭载于HBM封装内最底层的基础裸片优化工作的一部分。

台积电3nm制程节点逐渐步入正轨:N3P按计划2024H2量产

台积电(TSMC)在2023年第四季度开始量产了第二代3nm工艺,也就是N3E,进一步推进了3nm制程节点的量产计划。此前有报道称,随着越来越多的客户在3nm制程节点下单,所占的收入比例也会不断提高,预计2024年3nm制程节点将占台积电收入的20%以上。

谷歌与台积电或展开合作,为用于Pixel 10系列的Tensor G5做准备

谷歌今年将带来Tensor G4,为旗舰产品Pixel 9和Pixel 9 Pro提供动力。尽管过往Tensor G系列在性能和能效上都不如竞争对手,但谷歌一直坚持为SoC升级,引入更多的新功能,以匹配自身Pixel设备的使用需求。在不少人看来,谷歌选择三星代工多少会影响到Tensor G系列的表现。

三星HBM3E尚未通过英伟达验证,卡在台积电审批环节

此前有报道称,美光、SK海力士和三星先后在去年7月底、8月中旬、以及10月初向英伟达提供了8层垂直堆叠的HBM3E(24GB)样品。其中美光和SK海力士的HBM3E在今年初已通过英伟达的验证,并获得了订单。

台积电或继续扩大日本工厂规模,当地希望转变为半导体中心

由台积电(TSMC)、索尼、电装(DENSO)株式会社及丰田合作组建的日本先进半导体制造公司(JASM),于2022年4月在日本九州岛的熊本县开始建设新的生产基地。该项目也得到了日本政府的支持,并提供了补贴。台积电已于2024年2月24日举办了启用仪式,并计划2024年底开始量产。

传英伟达、AMD包揽台积电先进封装产能,未来AI芯片收入或占台积电20%以上

人工智能市场如今越来越火热,作为相关核心硬件的提供者,英伟达和AMD都在想办法提升出货量。据相关媒体报道,有业内消息称这两家公司包揽了台积电2024和2025年的CoWoS与SoIC先进封装产能,以争取更多的人工智能市场份额。

台积电A14工厂建设或延期,目前重点推进N2和A16制程

据《经济日报》报道,台积电延后了中部科学工业园二期园区A14工厂的收地进度,称“目前没有那么急了”,中部科学工业园管理局也配合台积电规划,将原定于8月份交地的计划延后至年底,且计划制程不变,仍是A14制程。

台积电表示A16工艺不需要High-NA EUV参与,A14工艺研发进展顺利

近日台积电(TSMC)举办了2024年北美技术论坛,揭示了其最新的制程技术、先进封装技术、以及三维立体电路(3D IC)技术,以驱动下一代人工智能(AI)的创新。其中台积电首次公布了A16制程工艺,将结合纳米片晶体管和背面供电解决方案,以提升逻辑密度和能效,预计2026年量产。

台积电首次官宣A16制程工艺,还有N4C和NanoFlex等多项新技术

近日,台积电(TSMC)举办了2024年北美技术论坛,揭示了其最新的制程技术、先进封装技术、以及三维立体电路(3D IC)技术,凭借这些先进的半导体技术来驱动下一代人工智能(AI)的创新。

加载更多
热门文章
1海韵Computex 2024新品发布预热:含新一代FOCUS系列和2200W铂金旗舰电源