• 英特尔和台积电披露下一代CFET晶体管进展,未来将取代GAA技术

    吕嘉俭 发布于2023-10-07 16:15 / 关键字: 英特尔, Intel, CFET, 台积电, TSMC

    据eeNewEurope报道,英特尔和台积电(TSMC)即将在IEDM 2023上公布下一代CFET晶体管的进展情况。未来堆叠式CFET架构将取代GAA(Gate-All-Round),成为新一代晶体管设计。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星和台积电均遭遇难题:在3nm工艺良品率上挣扎

    吕嘉俭 发布于2023-10-06 13:18 / 关键字: 三星, Samsung, 台积电, TSMC

    目前三星和台积电(TSMC)都已在3nm制程节点上实现了量产,前者于2022年6月宣布量产全球首个3nm工艺,后者则在同年12月宣布启动3nm工艺的大规模生产,苹果最新发布的iPhone 15 Pro系列机型上搭载的A17 Pro应用了该工艺。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电推出3Dblox 2.0,3DFabric联盟将继续推动3D IC创新

    吕嘉俭 发布于2023-09-28 09:29 / 关键字: 台积电, TSMC, 3DFabric, 3Dblox

    去年台积电(TSMC)宣布启动3DFabric联盟。这是半导体行业第一个与合作伙伴加速3D IC生态系统的创新联盟,为半导体设计、存储器模块、基板技术、测试、制造和封装提供全方位的一流解决方案和服务。

      展开阅读 

    分享
    | 收藏 | 评论

  • 英伟达已向台积电3nm工艺下单,Blackwell架构B100将于2024Q4到来

    吕嘉俭 发布于2023-09-26 14:03 / 关键字: 台积电, TSMC, 英伟达, NVIDIA, Blackwell

    目前台积电(TSMC)已量产了3nm工艺,不过直到现在也仅有苹果一个大客户下单,且搭载于iPhone 15 Pro系列的A17 Pro在能效方面的表现并不太好。传闻台积电3nm工艺报价达到2万美元的高价,加上半导体行情持续低迷,不少台积电的大客户都修改了原定的计划,推迟采用3nm工艺,至少要等到2024年下半年才导入。

    凭借人工智能(AI)对数据中心GPU的强劲需求,英伟达是少数能在市场不景气的大环境里逆势而起的科技公司,大量的订单一定程度上弥补了台积电营收下降的缺口。据DigiTimes报道,英伟达近期还与台积电签下了3nm工艺的订单,而且打算趁热打铁,提前至2024年第四季度推出Blackwell架构GB100,在数据中心市场继续压制其他竞争对手,早于原来计划的2025年。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 随着供应链成本上升,台积电制造的AI芯片或变得更贵

    吕嘉俭 发布于2023-09-26 10:34 / 关键字: 台积电, TSMC

    虽然半导体行业整体正处于低迷之中,不过人工智能(AI)领域却是另一番景象。英伟达的数据中心GPU收获了大批量的订单,加上其他科技企业的紧急订单,让负责制造及封装的台积电(TSMC)变得忙碌,先进封装方面的产能也变得更加紧张。

    现在制约台积电出货的主要是这些人工智能芯片所需要的封装产能,没有封装光有芯片是不完整的,为此台积电在努力平衡芯片制造和封装的产能,以便及时向客户交付产品。据相关媒体报道,随着台积电积极扩大先进封装产能,供应链的规模也变得更大了,其中一些中间商的价格开始出现上涨,最终很可能会推高台积电制造人工智能芯片的成本,产品会变得更贵。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电或受益于PC库存调整,英伟达追加订单亦将助力营收

    吕嘉俭 发布于2023-09-25 12:41 / 关键字: 台积电, TSMC

    由于过去一段时间半导体行业的趋势变化,台积电(TSMC)或多或少受到了影响,近期接连传出要求其主要芯片制造工具供应商推迟交付晶圆厂所需要的设备、2nm制程节点延期、可能再次下调营收预期等消息。

    据Wccftech报道,随着苹果发布了iPhone 15系列智能手机,加上9月份进入最后一周,台积电似乎可以松一口气,今年已不太可能再次下调营收预期,而且明年的营收预期可能还会更好一些。后背原因是库存过剩的问题逐渐得到了解决,PC市场的需求也正在恢复,不少厂商选择回补下单,明年台积电的订单量可能会回升。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电2nm制程节点或延期,新工艺量产将推迟到2026年

    吕嘉俭 发布于2023-09-21 10:02 / 关键字: 台积电, TSMC

    台积电(TSMC)在2nm制程节点将首度使用Gate-all-around FETs(GAAFET)晶体管,同时制造过程仍依赖于极紫外(EUV)光刻技术,原计划2024年末将做好风险生产的准备,并在2025年末进入大批量生产,客户在2026年就能收到首批采用N2工艺制造的芯片。

    据TechNews报道,台积电在中国台湾的北部(新竹宝山)、中部(台中中科)和南部(高雄楠梓)都有重大投资,兴建2nm工厂,而供应链最新消息指出,新竹宝山的建设项目已经放缓,这将影响原来的量产计划。有业内人士推测,真正实现量产可能要推迟到2026年。

      展开阅读 

    分享
    | 收藏 | 评论

  • 苹果最快于2026年采用台积电2nm工艺,英伟达或会跟进用于制造AI芯片

    吕嘉俭 发布于2023-09-20 12:06 / 关键字: 台积电, TSMC, 苹果, Apple

    近日,苹果正式发布了iPhone 15系列智能手机。其中iPhone 15 Pro和iPhone 15 Pro Max两款机型搭载了新款A17 Pro,这是苹果首款采用3nm工艺制造的芯片,由台积电(TSMC)负责制造,这也是业界首个3nm的同类芯片。

    众所周知,苹果是台积电的最大客户,占据了后者大概四分之一的收入。同时苹果总是率先引入台积电最先进的半导体制造工艺,并能优先分配到产能,让其在业界竞争中处于领先的位置。据Wccftech报道,苹果的A18 Pro和A19 Pro会采用台积电不同版本的3nm工艺,最快会在2026年的A20 Pro才改用2nm工艺,这也是苹果首款采用2nm工艺的SoC,假设苹果继续使用“Pro”后缀。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 台积电正考虑在美国建立先进封装设施,与亚利桑那州晶圆厂做垂直整合

    吕嘉俭 发布于2023-09-20 09:13 / 关键字: 台积电, TSMC

    目前台积电(TSMC)正在美国亚利桑那州建造新的晶圆厂Fab21,原计划第一阶段工程完工后,生产线会在2024年开始投入使用,采用的是N4和N5系列工艺。不过由于受到多重因素影响,Fab21大规模生产的时间可能会延后至2025年。

    虽然拥有先进工艺的晶圆厂,不过在当地缺乏相对应的封装设施,随着半导体电路小型化变得更加困难,Chiplet技术变得越来越重要,先进封装成了不少代工厂近期关注的重点。据相关媒体报道,台积电已经就建设先进封装厂与亚利桑那州当地政府谈判,探讨相关的可能性,以便在未来打造拥有垂直整合的芯片生产链。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电或大幅下调资本支出,3nm产能利用率也会降低

    吕嘉俭 发布于2023-09-19 09:07 / 关键字: 台积电, TSMC

    台积电(TSMC)近期受到了全球整体经济不景气、终端市场需求减弱、以及客户不断进行库存调整的影响,导致产能出现了暂时性下降。此前有报道称,台积电已要求其主要芯片制造工具供应商推迟交付晶圆厂所需要的设备,其中包括了ASML(阿斯麦),主要原因是客户需求存在不确定性。

    台积电表示将专注于全球供应链的“多样性”,没有过多解释背后的原因,但外界对于其前景多了几分担忧。据Ctee报道,高盛证券认为台积电在2023年的资本支出将保持稳定,大概在316亿美元左右,不过调低了2024年的资本支出预期,从280亿美元下调至250亿美元,这意味着明年会有超过20%的降幅。台积电的3nm产能利用率预计也会下降,2024至2025年年间大概在每月7万片到8万片晶圆,低于原先每月8万片到9万片的预期。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电要求供应商推迟交付设备,其中包括ASML

    吕嘉俭 发布于2023-09-16 10:06 / 关键字: 台积电, TSMC, 阿斯麦, ASML, 光刻机

    过去一段时间里,台积电(TSMC)的营收受到了全球整体经济不景气、终端市场需求减弱、以及客户不断进行库存调整的影响。虽然台积电在全球多处有新建晶圆厂的工程,不过随着产能需求减弱,最终还是选择削减了资本支出的预算,减缓了部分项目的进度。

    据相关媒体报道,台积电已要求其主要芯片制造工具供应商推迟交付晶圆厂所需要的设备,原因是客户需求存在不确定性,以及位于美国亚利桑那州的在建晶圆厂Fab21的工程面临延期。这些供应商中包括了ASML(阿斯麦),合理推测台积电打算延后接收光刻设备,这也是晶圆厂里最昂贵的工具之一。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电美国工厂将建造试验生产线,2024Q1将小批量试产

    吕嘉俭 发布于2023-09-14 12:25 / 关键字: 台积电, TSMC

    目前台积电(TSMC)正在美国亚利桑那州建造新的晶圆厂Fab21,原计划第一期生产线会在2024年开始投入使用,采用的是N4和N5系列工艺。不过由于半导体设施缺乏安装设备所需要的专业人员,Fab21大规模生产的时间可能会延后至2025年,大概会晚一年。

    虽然整个项目的工程进度延误了,不过台积电仍保持乐观的态度,努力化解遇到的各种难题。据Money DJ报道,为了确保新建的晶圆厂能够顺利投产,并满足部分需求,台积电打算先建一条小规模的试验生产线,并在2024年开始制造芯片。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电考虑扩大日本工厂规模,或引入更先进的制程工艺

    吕嘉俭 发布于2023-09-13 16:36 / 关键字: 台积电, TSMC

    目前台积电(TSMC)正在美国亚利桑那州建造新的晶圆厂Fab21,不过近期遇到了许多问题,比如缺乏安装设备所需要的专业人员,很可能迫使台积电将大规模生产的时间将延后。

      展开阅读 

    分享
    | 收藏 | 评论(8)

  • 英特尔向台积电出售IMS约10%股份,交易价格约为4.3亿美元

    吕嘉俭 发布于2023-09-13 11:15 / 关键字: 英特尔, Intel, 台积电, TSMC

    英特尔宣布,已同意将IMS Nanofabrication business(简称IMS)约10%股份出售给台积电(TSMC),预计交易在2023年第四季度完成。台积电董事会已批准以4.328亿美元的价格进行收购,意味着对IMS的估值约在43亿美元左右,这与最近英特尔向贝恩资本出售约20%股份的估价是一致的。英特尔将保留IMS多数股权,后者将继续作为前者的独立子公司运作,由首席执行官Elmar Platzgummer博士领导。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电或与英伟达及博通合作,推进硅光子技术开发

    吕嘉俭 发布于2023-09-12 09:26 / 关键字: 台积电, TSMC

    随着人工智能(AI)和高性能计算(HPC)的快速发展,对更快的数据中心互连的需求日益增长,传统技术正在努力跟上时代的步伐,光互连成为了解决电子输入/输出(I/O)性能扩展的一种可行性解决方案。利用硅材料制造光电子器件,既能结合硅材料在成熟制造工艺、低成本和高集成度等优势,又能发挥光子学在高速传输与高带宽等方面的优点。

    据相关媒体报道,台积电(TSMC)已经组织了一支大约由200名专家组成的专门研发团队,专注于如何将硅光子学应用到未来的芯片。传闻台积电打算与英伟达及博通(Broadcom)等厂商合作,共同推进硅光子技术的开发。其中涉及的元器件覆盖45nm到7nm制程技术,预计相关产品最早于2024年下半年获得订单,2025年将进入大批量生产阶段。

      展开阅读 

    分享
    | 收藏 | 评论

  3 4 5 6 7 8 9 10 11 12 ...96