E X P

关于 High-NA EUV 的消息

台积电CEO访问ASML总部,或改变对High-NA EUV光刻技术的态度

前一段时间,台积电(TSMC)举办了2024年北美技术论坛,首次公布了A16制程工艺,将结合纳米片晶体管和背面供电解决方案,以提升逻辑密度和能效,预计2026年量产。随后台积电确认,A16制程工艺并不需要下一代High-NA EUV光刻系统的参与。

ASML每年大概生产5台High-NA EUV光刻机,其中2025H1前大部分送往英特尔

上个月英特尔晶圆代工(Intel Foundry)宣布,已在美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地完成了业界首台High-NA EUV光刻机组装工作。随后开始在Fab D1X进行校准步骤,为未来工艺路线图的生产做好准备。

台积电表示A16工艺不需要High-NA EUV参与,A14工艺研发进展顺利

近日台积电(TSMC)举办了2024年北美技术论坛,揭示了其最新的制程技术、先进封装技术、以及三维立体电路(3D IC)技术,以驱动下一代人工智能(AI)的创新。其中台积电首次公布了A16制程工艺,将结合纳米片晶体管和背面供电解决方案,以提升逻辑密度和能效,预计2026年量产。

ASML称High-NA EUV光刻机已印刷首批图案,并向新客户交付第二台同类设备

近日ASML(阿斯麦)表示,本月将向第二位客户交付High-NA EUV光刻机,安装工作也即将开始。不过ASML并没有透露,具体交付给哪一家公司。其提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。

英特尔宣布完成业界首台High-NA EUV光刻机组装工作,目前正在进行校准步骤

英特尔晶圆代工(Intel Foundry)宣布,在先进半导体制造领域取得了一个关键的里程碑,已在美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地完成了业界首台High-NA EUV光刻机组装工作。目前英特尔正在Fab D1X进行校准步骤,为未来工艺路线图的生产做好准备。

三星认为High-NA EUV有利于逻辑芯片制造,但存储器或面临成本问题

近日在美国加利福利亚州圣何塞举行的SPIE先进光刻技术会议上,来自光刻生态系统不同部门的专家讨论了Low-NA和High-NA EUV光刻技术的前景,观点从高度乐观到谨慎,特别是High-NA EUV方面,三星表达了担忧。

英特尔提交德国新建晶圆厂示意图:安装High-NA EUV光刻机,2027Q4投入使用

去年6月,英特尔与德国联邦政府达成了协议,双方宣布签署了一份修订的投资意向书,计划投资超过300亿欧元,在马格德堡兴建两座新的晶圆厂。德国联邦政府已同意提供100亿欧元补贴,包含了来自《欧洲芯片法案》和来自政府的激励措施及补贴。

High-NA EUV光刻机价值3.8亿美元,ASML已收到10至20台订单

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。新设备的体积非常巨大,需要使用13个集装箱和250个板条箱来进行运输,将从荷兰的费尔德霍芬运送到美国俄勒冈州希尔斯伯勒的英特尔半导体技术研发基地,另外还需要250名工程师并花费6个月完成安装。

台积电或2030年才采用High-NA EUV光刻机,用于制造1nm芯片

去年末,ASML向英特尔交付了业界首台High-NA EUV光刻机。这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高,可以实现更高分辨率的图案化,以实现更小的晶体管特征。

ASML向英特尔交付首台High-NA EUV光刻机,售价可能达到4亿美元

2022年初,ASML宣布与英特尔的长期合作进入了新的阶段,双方将携手推进半导体光刻前沿技术。英特尔也向ASML发出了购买业界首个TWINSCAN EXE:5200系统的订单,这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,为双方长期的High-NA EUV技术合作搭建框架。

三星与ASML达成协议:获得High-NA EUV光刻设备技术的优先权

近年来,ASML站到了世界半导体技术的中心位置。目前ASML有序地执行其路线图,在EUV之后是High-NA EUV技术,ASML正在为客户交付首台High-NA EUV光刻机做准备,预计会在未来几个月内交付。

英特尔计划开发14A和10A工艺:将使用High-NA EUV光刻技术

2021年7月的“英特尔加速创新:制程工艺和封装技术线上发布会”上,英特尔CEO帕特-基尔辛格(Pat Gelsinger)展示了一系列底层技术创新。按照英特尔的计划,至2025年将发布Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A工艺,其中Intel 7已应用在Alder lake和Raptor Lake上。

ASML首席技术官认为当前光刻技术或走到尽头,High-NA EUV可能成为终点

近年来,ASML站到了世界半导体技术的中心位置。去年ASML两次提高了生产目标,希望到2025年,其年出货量能达到约600台DUV(深紫外光)光刻机以及90台EUV(极紫外光)光刻机。由于持续的芯片短缺,交付问题每天都在发生,而且ASML还遇到了柏林工厂火灾这样的意外。

台积电将在2024年引入High-NA EUV光刻机,或用于2025年2nm芯片生产

台积电(TSMC)的目标是2025年量产其N2工艺,而现阶段主要是其他N3工艺的产量和良品率,这被认为是世界上最先进的芯片制造技术之一。随着英特尔Meteor Lake延期,以及N3工艺的效能未让苹果满意,台积电很可能放弃N3工艺,将重点转移到明年量产的N3E工艺,这属于第二版3nm制程。

英特尔订购业界首个TWINSCAN EXE:5200系统,与ASML推进High-NA EUV技术

ASML宣布与英特尔的长期合作进入了新的阶段,双方将携手推进半导体光刻前沿技术。目前英特尔已经向ASML发出第一份采购订单,用于购买业界首个TWINSCAN EXE:5200系统。这是一种具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,为双方长期的High-NA EUV技术合作搭建框架。

加载更多
热门文章
1AMD的锐龙9000处理器预计7月上市,配套主板将直接叫800系
2微星多款Intel 800系主板通过认证:不仅有Z890,还有B860
3微星发布MAG 271QPX QD-OLED E2显示器:三星QD-OLED技术,240Hz刷新率
4报道称因三星的竞争压力,苹果计划将韩国列为iPhone 16首发地区之一
5利民推出BA120 EVO DARK散热器:单塔双风扇六热管,全黑化设计,售价179元
6618精选:华硕主板钜惠狂欢,5月31日晚上8点开启
7AIDA 64 v7.30发布:支持微软Windows 11 2024更新
8希捷BarraCuda 530系列SSD上市:读取速度7400MB/s,1/2TB首发499/899元
9先马推出轨迹mini机箱:曲面玻璃海景房,双360水冷,黑白同价249元