• 台积电推动可再生能源计划,将大规模采购风电

    吕嘉俭 发布于2021-12-25 12:58 / 关键字: 台积电, TSMC

    台积电(TSMC)作为全球最大的晶圆代工厂,其庞大的半导体生产设施,对能源也有着巨大的需求。今年因中国台湾地区的旱情而造成缺水,以及停电等问题,就给台积电生产上带来了诸多的麻烦。此前台积电已经在中国台湾的台南建造废水处理中心,提高工业废水的处理能力,减少对外部水源的依赖。到2024年将能够每天提供67000吨水用于生产,满足至少一半的用水需求。

    在解决供水问题后,台积电又将目光转向供电问题。近日有媒体报道,台积电与德国可再生能源供应商Wpd AG的子公司签署协议,将采购1.2GW装机容量的风电,这是全球最大的可再生能源合作项目。其中600MW装机容量是陆地的风力发电设备,另外600MW是海上风力发电设备。目前相关海上风力发电设施还在建设当中,本次合作协议的资金将完成离岸风力发电场的第三阶段建造计划。

      展开阅读 

    分享
    | 收藏 | 评论(5)

  • 台积电加紧N3制程节点生产计划,苹果M3系列将实现全线自研芯片

    吕嘉俭 发布于2021-12-24 12:08 / 关键字: 台积电, TSMC, 苹果, Apple

    苹果正在按计划从英特尔x86处理器转向自研芯片,随着2022年多款Mac新品的发布,很可能除了最高端的Mac Pro以外,全部采用M系列自研芯片。此前有媒体报道,苹果的自研芯片计划是每18个月更新一次。按照该时间表推算,苹果将会在2023年推出M3系列芯片。

    与此同时,台积电(TSMC)正紧锣密鼓地推进N3制程节点的量产计划。据了解,台积电将在2022年下半年量产N3制程节点,第一批3nm芯片将会在2023年初出货,同时计划在2023年下半年量产名为N3E的增强型3nm工艺。N3制程节点仍使用FinFET晶体管的结构,将成为台积电另一个大规模量产且持久的制程节点,而N3E作为N3的扩展,将拥有更好的性能和功耗表现。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 晶圆代工行业现状:耗费巨资的半导体游戏

    吕嘉俭 发布于2021-12-22 15:06 / 关键字: 台积电, TSMC, 三星

    目前半导体生产工艺已推进到5nm制程节点,更先进的3nm制程节点也是箭在弦上。当下顶尖的晶圆生产厂的成本已经非常高,这导致仅有个别厂家能够掌握,而且后来者很难赶上市场上的领导者。

    据DigiTimes报道,晶圆代工是一项需要巨额投资的半导体游戏,并不是所有企业都能承受,这使得行业集中度越来越高。在1998年亚洲金融风暴以前,排名前五的晶圆代工厂约占行业投资总额的27%,但是到了2008年全球金融危机以后,比例上升到58%,目前已达到72%。

      展开阅读 

    分享
    | 收藏 | 评论(6)

  • 2021年半导体行业资本支出达1520亿美元,预计2035年市场规模将达2万亿美元

    吕嘉俭 发布于2021-12-20 17:38 / 关键字: 台积电, TSMC, 三星, GlobalFoundries, 格罗方德, 英特尔, Intel

    近期IC Insights指出,今年全球半导体行业的资本支出有大幅度增长,以应对未来很可能会持续多年的芯片需求。在兴建新晶圆厂和购买生产设备上,今年预计将花费1520亿美元,高于去年的1131亿美元,同比增长34%。这是自2017年以来最强劲的同比涨幅,当时为41%。

    台积电(TSMC)、三星和GlobalFoundries(格罗方德)等是晶圆代工厂在资本支出上引领整个行业,投入达530亿美元,占据了2021年半导体行业资本支出的35%份额。台积电作为世界上最大的晶圆代工厂,由于服务需求创下了记录,计划花费250亿到350亿美元用于提升制造能力,为2023年即将到来的N3制程节点,以及2025年的N2制程节点量产做准备,这都需要大量购置新的生产工具和建造新晶圆厂。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电宣布推出N4X制程工艺:为HPC产品量身定制的N5增强型

    吕嘉俭 发布于2021-12-16 15:08 / 关键字: 台积电, TSMC

    台积电(TSMC)宣布,将推出N4X制程工艺。这是台积电专为高性能计算(HPC)产品苛刻工作负载而量身定制的,也是其首款专注于HPC的技术产品,有着N5系列制程工艺中最高的性能和频率。台积电表示,其“X”后缀代表Extreme,是为专注于HPC的技术而保留,也是首次在制程名称中使用。

    N4X制程工艺是台积电根据其5nm量产的经验,做了进一步的技术增强,以适应高性能计算产品的特性,包括了:1、为大电流量和高频率优化了器件设计和结构。2、针对高性能设计做了后端金属堆栈优化。3、超高密度金属-绝缘体-金属电容器,可在极端性能负载下提供强大的功率。

      展开阅读 

    分享
    | 收藏 | 评论(5)

  • 英特尔CEO盛赞台积电为行业所做的贡献,后者希望前者可以为N3生产线支付订金

    吕嘉俭 发布于2021-12-16 10:46 / 关键字: 台积电, TSMC, 英特尔, Intel

    近日英特尔CEO帕特-基尔辛格(Pat Gelsinger)将会与台积电总裁魏哲家、以及董事长刘德音会面,商讨N3制程节点产能和未来的一些合作细节。早前英特尔已开始与苹果抢占台积电(TSMC)N3制程节点的产能,传闻涉及四种产品,包括用于制造2023年发布的Meteor Lake的GPU模块,以及基于Ponte Vecchio的部分模块。

    在双方见面前,帕特-基尔辛格还发布了一段预先录制好的视频,盛赞台积电过去多年来为半导体行业所做的贡献。鉴于英特尔在今年提出的“IDM 2.0”战略,宣布打造世界一流的英特尔代工服务(IFS),并扩大采用第三方代工产能。双方未来几年内,将同时存在合作和竞争的关系,此时此刻更显得微妙。

      展开阅读 

    分享
    | 收藏 | 评论(6)

  • 英特尔将成为台积电未来营收增长关键来源,预计2023年跻身前三大客户

    吕嘉俭 发布于2021-12-15 10:07 / 关键字: 台积电, TSMC, 英特尔, Intel

    英特尔在今年提出了“IDM 2.0”战略,宣布会打造世界一流的英特尔代工服务(IFS),并扩大采用第三方代工产能。与此同时,英特尔与苹果抢占台积电N3制程节点的产能,传闻涉及四种产品,包括用于制造2023年发布的Meteor Lake的GPU模块,以及基于Ponte Vecchio的部分模块。未来英特尔和台积电的关系既是合作伙伴,也是竞争对手。

      展开阅读 

    分享
    | 收藏 | 评论(4)

  • 台积电已开始试产3nm芯片,英特尔希望有更多产能配额

    吕嘉俭 发布于2021-12-03 11:18 / 关键字: 台积电, TSMC, 英特尔, Intel

    此前就有媒体报道,台积电(TSMC)将在2022年下半年量产N3制程节点,并计划推出名为N3E的增强型3nm工艺,量产时间为2023年下半年。相比之下,三星在3nm制程节点引入了全新的GAAFET全环绕栅极晶体管工艺,并在2022年上半年量产第一代3nm工艺。

    台积电总裁魏哲家曾表示,N3制程节点仍使用FinFET晶体管的结构,是为客户提供最佳的技术成熟度、性能和成本。在台积电3nm工艺技术推出的时候,将成为业界最先进的PPA和晶体管技术,N3制程节点将成为台积电另一个大规模量产且持久的制程节点。N3E作为N3的扩展,将拥有更好的性能和功耗表现。

      展开阅读 

    分享
    | 收藏 | 评论(5)

  • 英伟达下一代GPU均采用台积电5nm工艺,相关供应链正在为量产做准备

    吕嘉俭 发布于2021-11-30 15:34 / 关键字: 英伟达, NVIDIA, TSMC, 台积电, 三星, Lovelance, Hopper

    三星近期开始进行大规模的产能扩充和技术研发计划,意图在晶圆制造领域追赶台积电(TSMC)。三星此前已宣布,未来十年将投资1515亿美元用于晶圆厂的建设,目标到2026年,产能将提高到目前的三倍。同时计划在2022年上半年量产3nm GAA制程,2023年量产第二代3nm工艺。此外,三星还加紧了芯片方面的开发工作,在Exynos系列SoC引入AMD的图形技术,近期还致力于开发适用于新一代汽车的车用芯片,还传出收购相关企业的消息。

    最近业界传出三星希望将高通和AMD导入到自己的先进工艺制程计划中,后者与台积电有深度合作关系,在7nm制程节点的成功,让AMD坐上了发展的快车道。作为实力更为强大的竞争对手,面对三星咄咄逼人的攻势,台积电当然也不会坐以待毙。既然三星可以争取AMD,那么台积电也可以争夺英伟达的GPU订单。

      展开阅读 

    分享
    | 收藏 | 评论(11)

  • 台积电获得数十亿美元预付款,换取晶圆代工厂保留产能

    吕嘉俭 发布于2021-11-18 12:38 / 关键字: 台积电, TSMC

    长期供应协议在半导体行业并不是什么新鲜事,无晶圆厂的芯片设计公司和晶圆代工厂都喜欢稳定的供应和需求。在过去一年多里,由于半导体行业自身以及新冠疫情扩散的原因,导致供应受到了极大的影响,使得芯片设计公司愿意提前支付部分费用,对于晶圆代工厂而言是一个非常有利举动。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电董事会批准90亿美元的追加投资,财报显示10月份收入环比下降近12%

    吕嘉俭 发布于2021-11-11 11:06 / 关键字: 台积电, TSMC

    近期不少人对台积电(TSMC)是否能按计划推进先进工艺的生产表示忧虑,这或许还会影响到苹果相关芯片的计划,包括iPhone手机使用的A系列和Mac使用的M系列芯片。按照此前台积电公布的路线图,将在2022年下半年量产N3制程节点,预计很快就会进行试产。

    据Wccftech报道,台积电的董事会在昨天举行的会议上批准了一项资本支出,金额为90亿美元,将用于扩充先进制程、成熟制程和特殊制程的产能、先进封装的产能、相关设施的建设、以及2022年第一季度研发和维持的资本支出。显然,这项追加的投资,可以更好地确保先进制程工艺研发的推进。同时,成熟制程的产能提升可以减缓像汽车芯片这样的短缺情况。

      展开阅读 

    分享
    | 收藏 | 评论

  • Cadence发布PCIe 6.0 IP测试芯片设计套件,采用台积电5nm工艺制造

    吕嘉俭 发布于2021-11-05 15:55 / 关键字: Cadence, TSMC, 台积电, PCIe 6.0, PCI Express

    大概在一个月前,PCI-SIG宣布PCIe 6.0已经到了0.9版本,相当于最终草案阶段。目前PCI-SIG成员正在对技术进行内部审查,以确保其知识产权和专利。除非出现重大问题,否则不允许进行任何功能上的修改,相关企业也可以开始在产品中采用PCIe 6.0。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • A16 Bionic或将采用台积电4nm工艺制造,也许会是合理的选择

    吕嘉俭 发布于2021-11-05 12:01 / 关键字: 台积电, TSMC, 苹果, Apple

    此前已经有报道指出,iPhone 14系列搭载的A16 Bionic很可能来不及等台积电(TSMC)的3nm工艺量产。目前iPhone 13系列所使用的A15 Bionic仍停留在台积电5nm工艺上,只是工艺上有所加强,相比同样采用5nm工艺的A14 Bionic,整体性能提升幅度有限。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 台积电或不能按时量产3nm工艺,iPhone芯片连续三年停留同一制程节点

    吕嘉俭 发布于2021-11-03 12:41 / 关键字: 台积电, TSMC, 苹果, Apple

    目前苹果正在将Mac产品线从英特尔x86处理器逐渐转向其自研芯片,近期推出搭载M1 Pro和M1 Max的新款14 英寸和16英寸MacBook Pro机型,进一步提高了自研芯片在产品线中的占比。相比此前搭载的英特尔x86处理器,也提供了更高的性能。

    苹果的这一切成果,与台积电(TSMC)在幕后的鼎力相助密切相关。在过去的两三年里,台积电为苹果提供了最好的制造技术,并保证了苹果作为第一大客户有足够的产能。

      展开阅读 

    分享
    | 收藏 | 评论(17)

  • 台积电宣布推出N4P工艺,以5nm为基础的性能增强型

    吕嘉俭 发布于2021-10-27 10:11 / 关键字: 台积电, TSMC

    台积电(TSMC)宣布推出N4P工艺,这是以目前5nm制程节点为基础,以性能为重点的增强型工艺。台积电表示,凭借N5、N4、N3和最新的N4P,台积电客户在其产品的性能、面积、成本和功耗等多方面都可以有非常灵活的工艺选择。

    N4P工艺是台积电5nm制程节点的第三次重大改进,性能比最早期的N5工艺提高了11%,也比N4工艺提高了6%。与N5工艺相比,还有22%的能效提升,以及6%的晶体管密度提升。在N4P工艺上,台积电通过减少掩模数量,以降低工艺的复杂性,缩短了周期。随着台积电及其Open Innovation Platform合作伙伴的帮助,首批基于N4P工艺的产品可能会在2022年下半年流片。

      展开阅读 

    分享
    | 收藏 | 评论(4)

  13 14 15 16 17 18 19 20 21 22 ...98