• 台积电2nm制程节点或延期,新工艺量产将推迟到2026年

    吕嘉俭 发布于2023-09-21 10:02 / 关键字: 台积电, TSMC

    台积电(TSMC)在2nm制程节点将首度使用Gate-all-around FETs(GAAFET)晶体管,同时制造过程仍依赖于极紫外(EUV)光刻技术,原计划2024年末将做好风险生产的准备,并在2025年末进入大批量生产,客户在2026年就能收到首批采用N2工艺制造的芯片。

    据TechNews报道,台积电在中国台湾的北部(新竹宝山)、中部(台中中科)和南部(高雄楠梓)都有重大投资,兴建2nm工厂,而供应链最新消息指出,新竹宝山的建设项目已经放缓,这将影响原来的量产计划。有业内人士推测,真正实现量产可能要推迟到2026年。

      展开阅读 

    分享
    | 收藏 | 评论

  • 苹果最快于2026年采用台积电2nm工艺,英伟达或会跟进用于制造AI芯片

    吕嘉俭 发布于2023-09-20 12:06 / 关键字: 台积电, TSMC, 苹果, Apple

    近日,苹果正式发布了iPhone 15系列智能手机。其中iPhone 15 Pro和iPhone 15 Pro Max两款机型搭载了新款A17 Pro,这是苹果首款采用3nm工艺制造的芯片,由台积电(TSMC)负责制造,这也是业界首个3nm的同类芯片。

    众所周知,苹果是台积电的最大客户,占据了后者大概四分之一的收入。同时苹果总是率先引入台积电最先进的半导体制造工艺,并能优先分配到产能,让其在业界竞争中处于领先的位置。据Wccftech报道,苹果的A18 Pro和A19 Pro会采用台积电不同版本的3nm工艺,最快会在2026年的A20 Pro才改用2nm工艺,这也是苹果首款采用2nm工艺的SoC,假设苹果继续使用“Pro”后缀。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 台积电正考虑在美国建立先进封装设施,与亚利桑那州晶圆厂做垂直整合

    吕嘉俭 发布于2023-09-20 09:13 / 关键字: 台积电, TSMC

    目前台积电(TSMC)正在美国亚利桑那州建造新的晶圆厂Fab21,原计划第一阶段工程完工后,生产线会在2024年开始投入使用,采用的是N4和N5系列工艺。不过由于受到多重因素影响,Fab21大规模生产的时间可能会延后至2025年。

    虽然拥有先进工艺的晶圆厂,不过在当地缺乏相对应的封装设施,随着半导体电路小型化变得更加困难,Chiplet技术变得越来越重要,先进封装成了不少代工厂近期关注的重点。据相关媒体报道,台积电已经就建设先进封装厂与亚利桑那州当地政府谈判,探讨相关的可能性,以便在未来打造拥有垂直整合的芯片生产链。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电或大幅下调资本支出,3nm产能利用率也会降低

    吕嘉俭 发布于2023-09-19 09:07 / 关键字: 台积电, TSMC

    台积电(TSMC)近期受到了全球整体经济不景气、终端市场需求减弱、以及客户不断进行库存调整的影响,导致产能出现了暂时性下降。此前有报道称,台积电已要求其主要芯片制造工具供应商推迟交付晶圆厂所需要的设备,其中包括了ASML(阿斯麦),主要原因是客户需求存在不确定性。

    台积电表示将专注于全球供应链的“多样性”,没有过多解释背后的原因,但外界对于其前景多了几分担忧。据Ctee报道,高盛证券认为台积电在2023年的资本支出将保持稳定,大概在316亿美元左右,不过调低了2024年的资本支出预期,从280亿美元下调至250亿美元,这意味着明年会有超过20%的降幅。台积电的3nm产能利用率预计也会下降,2024至2025年年间大概在每月7万片到8万片晶圆,低于原先每月8万片到9万片的预期。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电要求供应商推迟交付设备,其中包括ASML

    吕嘉俭 发布于2023-09-16 10:06 / 关键字: 台积电, TSMC, 阿斯麦, ASML, 光刻机

    过去一段时间里,台积电(TSMC)的营收受到了全球整体经济不景气、终端市场需求减弱、以及客户不断进行库存调整的影响。虽然台积电在全球多处有新建晶圆厂的工程,不过随着产能需求减弱,最终还是选择削减了资本支出的预算,减缓了部分项目的进度。

    据相关媒体报道,台积电已要求其主要芯片制造工具供应商推迟交付晶圆厂所需要的设备,原因是客户需求存在不确定性,以及位于美国亚利桑那州的在建晶圆厂Fab21的工程面临延期。这些供应商中包括了ASML(阿斯麦),合理推测台积电打算延后接收光刻设备,这也是晶圆厂里最昂贵的工具之一。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电美国工厂将建造试验生产线,2024Q1将小批量试产

    吕嘉俭 发布于2023-09-14 12:25 / 关键字: 台积电, TSMC

    目前台积电(TSMC)正在美国亚利桑那州建造新的晶圆厂Fab21,原计划第一期生产线会在2024年开始投入使用,采用的是N4和N5系列工艺。不过由于半导体设施缺乏安装设备所需要的专业人员,Fab21大规模生产的时间可能会延后至2025年,大概会晚一年。

    虽然整个项目的工程进度延误了,不过台积电仍保持乐观的态度,努力化解遇到的各种难题。据Money DJ报道,为了确保新建的晶圆厂能够顺利投产,并满足部分需求,台积电打算先建一条小规模的试验生产线,并在2024年开始制造芯片。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电考虑扩大日本工厂规模,或引入更先进的制程工艺

    吕嘉俭 发布于2023-09-13 16:36 / 关键字: 台积电, TSMC

    目前台积电(TSMC)正在美国亚利桑那州建造新的晶圆厂Fab21,不过近期遇到了许多问题,比如缺乏安装设备所需要的专业人员,很可能迫使台积电将大规模生产的时间将延后。

      展开阅读 

    分享
    | 收藏 | 评论(8)

  • 英特尔向台积电出售IMS约10%股份,交易价格约为4.3亿美元

    吕嘉俭 发布于2023-09-13 11:15 / 关键字: 英特尔, Intel, 台积电, TSMC

    英特尔宣布,已同意将IMS Nanofabrication business(简称IMS)约10%股份出售给台积电(TSMC),预计交易在2023年第四季度完成。台积电董事会已批准以4.328亿美元的价格进行收购,意味着对IMS的估值约在43亿美元左右,这与最近英特尔向贝恩资本出售约20%股份的估价是一致的。英特尔将保留IMS多数股权,后者将继续作为前者的独立子公司运作,由首席执行官Elmar Platzgummer博士领导。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电或与英伟达及博通合作,推进硅光子技术开发

    吕嘉俭 发布于2023-09-12 09:26 / 关键字: 台积电, TSMC

    随着人工智能(AI)和高性能计算(HPC)的快速发展,对更快的数据中心互连的需求日益增长,传统技术正在努力跟上时代的步伐,光互连成为了解决电子输入/输出(I/O)性能扩展的一种可行性解决方案。利用硅材料制造光电子器件,既能结合硅材料在成熟制造工艺、低成本和高集成度等优势,又能发挥光子学在高速传输与高带宽等方面的优点。

    据相关媒体报道,台积电(TSMC)已经组织了一支大约由200名专家组成的专门研发团队,专注于如何将硅光子学应用到未来的芯片。传闻台积电打算与英伟达及博通(Broadcom)等厂商合作,共同推进硅光子技术的开发。其中涉及的元器件覆盖45nm到7nm制程技术,预计相关产品最早于2024年下半年获得订单,2025年将进入大批量生产阶段。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电称由于CoWoS封装产能紧张,英伟达AI GPU供应短缺或持续到2025年

    吕嘉俭 发布于2023-09-11 14:11 / 关键字: 英伟达, NVIDIA, 台积电, TSMC

    过去的几个月里,以ChatGPT为首的人工智能工具在全球范围内掀起了一股热潮,对英伟达A100和H100这样的数据中心GPU的需求大幅度提高。这也让负责制造及封装的台积电(TSMC)在先进封装方面的产能变得紧张,还紧急订购新的设备,预计要将2.5D封装产能扩大40%以上,以满足英伟达不断增长的需求。

    据Nikkei Asia报道,台积电董事长刘德音近日在公开活动中承认,人工智能(AI)的兴起需要巨大的算力,相关GPU需求激增导致CoWoS封装产能紧张,现阶段无法100%满足客户的需求,只能尽力做到满足80%左右的需求。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 英特尔计划增加未来两年外包量,台积电将获得更多订单

    吕嘉俭 发布于2023-09-02 23:31 / 关键字: 英特尔, Intel, 台积电, TSMC

    英特尔CEO帕特-基尔辛格(Pat Gelsinger)在两年前的“英特尔加速创新:制程工艺和封装技术线上发布会”上,公布了最新工艺路线图,力求在四年里迈过Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A共5个制程节点,目标半导体制造工艺可以在2025年赶上台积电(TSMC),同时围绕“IDM 2.0”战略打造世界一流的英特尔代工服务(IFS)。

    据Trendforce的消息,英特尔自10nm制程节点起,就一直在努力解决工艺升级延迟的问题,同时决定改变内部晶圆代工业务模式,将设计与制造业务分离,内部的设计部门与制造业务部门之间将建立起“客户-供应商”的关系。随着新产品生产的需要,英特尔计划在2024年和2025年将扩大外包的订单量,除了自己的制造部门外,很大部分将流向台积电,而且占比会变得更高。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 台积电2024年营收增长可能放缓,折扣优惠将带来更多不确定性

    吕嘉俭 发布于2023-08-29 10:48 / 关键字: 台积电, TSMC

    得益于苹果和英伟达的订单,台积电(TSMC)至少在今年第四季度,营收方面不需要太过担心。不过随着电子消费市场持续放缓,加上芯片需求一直处于低迷,对台积电明年的业绩增长会带来较大压力,也让不少人怀疑台积电是否能维持自新冠疫情爆发后的高增长趋势。

    据Wccftech报道,台积电2023年第三季度的收入在165亿美元至175亿美元之间,由于苹果和英伟达的强劲需求,预计2023年第四季度的收入将环比增长7%至9%,将达到186亿美元左右。台积电年内已经两次下调了营收的预期,如果情况良好,应该不会有第三次。不过接下来情况可能会变得复杂,面对全球经济众多不确定性,前景似乎没那么乐观。

      展开阅读 

    分享
    | 收藏 | 评论

  • GlobalFoundries批评德国补贴台积电的做法,认为自己应该获得更多支持

    吕嘉俭 发布于2023-08-29 09:06 / 关键字: GlobalFoundries, 格罗方德, 台积电, TSMC

    此前台积电(TSMC)宣布,公司董事会已核准在德国兴建半导体工厂的计划,将与博世、英飞凌和恩智浦半导体共同投资位于德国德累斯顿的欧洲半导体制造公司(ESMC),以提供先进的半导体制造服务。其中台积电将占有合资公司70%的股权,其余博世、英飞凌和恩智浦半导体三家各占10%的股权。

    据相关媒体报道,GlobalFoundries(格罗方德)反对德国政府补贴台积电的做法,认为会进一步加强台积电在晶圆代工领域的市场领导地位。在GlobalFoundries看来,台积电的大额补贴不符合欧洲的法律。其政府和法律事务的负责人Saam Azar表示,一旦德国政府和台积电在布鲁塞尔正式注册该项目,有可能向欧盟委员会提出正式的申诉。

      展开阅读 

    分享
    | 收藏 | 评论

  • 英特尔将在马来西亚建造先进封装设施,与台积电争夺新市场

    吕嘉俭 发布于2023-08-23 14:06 / 关键字: 英特尔, Intel, 台积电, TSMC

    继先进制程激战之后,英特尔、台积电和三星又将战场扩大至3D先进封装领域,最近各自均开始了新的部署,开发更为先进的封装技术。其中贯彻IDM 2.0战略的英特尔,近期首次曝光了其在马来西亚的封装与测试计划。

    据DigiTimes报道,英特尔APJ总经理Steven Long表示,英特尔将加快进军先进封装领域,继美国俄勒冈州和新墨西哥州后,马来西亚的封装和测试工厂也将进行扩建,预计明年将开始投产,到2025年末,三期厂房总计Foveros 3D先进封装产能将比2023年增加四倍。对于在马来西亚的半导体投资,英特尔不会感到陌生,已经有超过50年的历史。

      展开阅读 

    分享
    | 收藏 | 评论

  • 台积电否认下调营收预期,美国工厂已安装首台EUV设备

    吕嘉俭 发布于2023-08-22 11:19 / 关键字: 台积电, TSMC

    台积电在今年7月公布第二季度业绩的时候,就表示受到了全球总体经济形势的影响,终端市场需求疲软,供应链的问题持续时间比预期的要长,于是年内第二次下调了营收的预期,预计2023年营收将下降10%。

    据Wccftech报道,由于半导体行业增长放缓,正面临全面的冲击,传出了台积电可能年内第三次下调营收预期,可能会进一步调整为营收下降12%。台积电对这类消息予以否认,表示仍然会保持原有的预期。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  3 4 5 6 7 8 9 10 11 12 ...95