• 华为有望首次超越三星,在2024Q1可折叠手机市场登顶

    吕嘉俭 发布于2024-03-13 11:57 / 关键字: 华为, 三星, Samsung

    自2019年推出首款可折叠机型Galaxy Fold以来,三星从2020年至2023年之间,每年都会发布新款Galaxy Z Fold/Flip系列产品,目前已来到Galaxy Z Fold 5/Flip 5。虽然已经有不少竞争对手加入,推出了相当数量的可折叠机型,但是三星依然占据一定的优势,一直统治着这一细分市场。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 2023Q4全球智能手机产量同比增长12.1%,全年约11.66亿台

    吕嘉俭 发布于2024-03-11 23:41 / 关键字: 苹果, 三星, OPPO, vivo, 小米

    据TrendForce最新调查研究报告显示,全球智能手机产量在2023年第三季度结束了连续8个季度的同比衰退,至第四季度各大品牌进行年末冲刺以巩固市占率,带动2023年第四季智能手机产量同比增长12.1%,约3.37亿台,而2023全年产量约11.66亿台,按年减少了2.1%。

      展开阅读 

    分享
    | 收藏 | 评论(3)

  • 三星认为High-NA EUV有利于逻辑芯片制造,但存储器或面临成本问题

    吕嘉俭 发布于2024-03-11 15:36 / 关键字: 三星, Samsung

    近日在美国加利福利亚州圣何塞举行的SPIE先进光刻技术会议上,来自光刻生态系统不同部门的专家讨论了Low-NA和High-NA EUV光刻技术的前景,观点从高度乐观到谨慎,特别是High-NA EUV方面,三星表达了担忧。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星曾考虑将联发科天玑9000用于Galaxy S系列,供应量不足致双方未能达成协议

    吕嘉俭 发布于2024-03-11 11:07 / 关键字: 三星, Samsung, 联发科, MediaTek

    联发科(MediaTek)在2021年末,推出了名为天玑9000(Density 9000)的旗舰SoC,重新冲击高端。这不仅是第一款采用ARMv9架构的SoC,也是第一款支持7500 MT/s的LPDDR5X内存的SoC。从过去两年多的情况来看,天玑9000让市场重新关注联发科,也争取到了不少的市场份额。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 高通越来越依赖三星?已占其2023Q4收入的40%

    吕嘉俭 发布于2024-03-08 14:20 / 关键字: 高通, 三星

    在2022年,高通与三星之间建立了新的合作伙伴关系,双方从2023年开始签署了一项新协议,将骁龙平台的使用范围进一步扩大,更多地应用到三星未来高端Galaxy产品线中,除了智能手机,还包括个人电脑、平板电脑、虚拟现实等产品。今年双方又延长了协议的期限,三星也逐渐加大了高通芯片的使用。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星高管称AR、VR设备将带动显示器市场,​Micro OLED还需3-4年实现商业化

    冼廷斌 发布于2024-03-07 16:06 / 关键字: 三星, ​Micro OLED, OLED

    3月6日,三星显示器业务总经理崔周善在大田韩国科学技术院(KAIST)结束特别演讲后接受chosun的记者采访,他透露Micro OLED还需要3-4年才能实现商业化,且他对于韩国显示器行业复苏持中立态度。

      展开阅读 

    分享
    | 收藏 | 评论

  • 经过长时间与库存及需求的纠缠,三星DRAM业务时隔5个季度实现盈利

    吕嘉俭 发布于2024-03-07 10:34 / 关键字: 三星, Samsung, DRAM

    作为全球最大的芯片制造商之一,过往半导体业务一直是三星摇钱树。不过去年全球存储芯片陷入了前所未有的低迷,让三星损失惨重,连续数个季度里一直在与库存及市场需求作斗争,DRAM业务陷入了连续亏损。由于库存负担过重、需求低迷、价格下滑,最终三星不得不通过减产等手段,将管理重点放在了盈利能力上,但是进展一直不太顺利。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星仍需解决3nm工艺良品率问题,目前在50%附近徘徊

    吕嘉俭 发布于2024-03-06 17:30 / 关键字: 三星, Samsung

    近年来,良品率一直是三星晶圆代工业务所要面对的最大问题。特别是在3nm制程节点上,三星率先引入了全新的下一代GAA(Gate-All-Around)架构晶体管技术,与以往使用的FinFET晶体管技术有着较大的区别,也使得良品率问题进一步放大。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星决定为第二代3nm工艺改名:“SF3”将重新命名为“SF2”?

    吕嘉俭 发布于2024-03-06 09:55 / 关键字: 三星, Samsung

    此前三星公布了到2027年的制程技术路线图,列出了2022年6月量产SF3E(3nm GAA,3GAE)以后的半导体工艺发展计划,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原计划,今年三星将带来第二代3nm工艺技术,也就是SF3,使用“第二代多桥-通道场效应晶体管(MBCFET)”,传闻已经在试产。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • HBM低良品率影响产量,美光在英伟达HBM3E资格测试中领先

    吕嘉俭 发布于2024-03-05 10:59 / 关键字: 英伟达, NVIDIA, 美光, 三星, SK海力士, HBM

    目前英伟达为人工智能(AI)和高性能计算(HPC)应用销售的芯片比业内其他企业都要多,这些高性能计算卡需要大量HBM类芯片,如果想保持这种状态,就需要稳定的供应。为了更妥善且健全的供应链管理,同时为了保证下一代产品的供应,英伟达规划加入更多的供应商,去年末三星、SK海力士和美光都参与到英伟达下一代AI GPU的资格测试中。

    据DealSite报道,英伟达的资格测试似乎给HBM制造商带来了困难,比起普通的内存产品,HBM类产品的良品率明显较低,这一定程度上影响了供应。相比市场对于HBM类产品的巨大需求,目前存储器制造商的产能有所不足,供应十分紧张,SK海力士和美光先后表示2024年HBM产能售罄。

      展开阅读 

    分享
    | 收藏 | 评论

  • 英特尔尝试挖走三星的客户,以尽快取代对方成为世界第二代工厂

    吕嘉俭 发布于2024-03-05 09:13 / 关键字: 英特尔, Intel, 三星, Samsung

    2022年,时任英特尔代工服务部总裁Randhir Thakur在接受媒体采访时明确表示,希望到2030年能成为全球第二大晶圆代工服务制造商,仅次于台积电(TSMC),并在利润率方面可以领先。

    据DigiTimes报道,为了能够尽早超越三星,英特尔将目光投向了这家韩国企业的客户,试图挖走选择三星代工的芯片设计公司。为了争取更多的客户,英特尔首席执行官帕特-基尔辛格(Pat Gelsinger)在去年亲自下场,向各个芯片设计公司推销即将到来的Intel 18A工艺。不过三星与其合作伙伴及客户有着紧密的联系,这样的做法暂时没有起到太大的效果。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星在新款Exynos芯片上全力以赴,或独占Galaxy S系列的SoC供应

    吕嘉俭 发布于2024-03-02 14:50 / 关键字: 三星, Samsung

    在2022年,高通与三星之间建立了新的合作伙伴关系,双方从2023年开始签署了一项新协议,将骁龙平台的使用范围进一步扩大,更多地应用到三星未来高端Galaxy产品线中,除了智能手机,还包括个人电脑、平板电脑、虚拟现实等产品。上个月高通在财报电话会议上,确认与三星延长了一项有关骁龙平台的多年协议,从2024年三星旗舰Galaxy智能手机的发布开始。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  • 三星推出新款高性能和大容量microSD卡:读取速度达800MB/s,提供1TB产品

    吕嘉俭 发布于2024-02-29 15:41 / 关键字: 三星, Samsung, microSD

    三星宣布,推出新一代microSD卡,包括顺序读取速度达800MB/s的256GB SD Express microSD卡和1TB UHS-1 microSD卡,目标是提供未来移动计算和设备上人工智能(AI)应用所需的差异化内存解决方案。

      展开阅读 

    分享
    | 收藏 | 评论(2)

  • 三星计划2025年引入背面供电技术:采用BSPDN打造2nm芯片,进一步提高能效

    吕嘉俭 发布于2024-02-28 16:11 / 关键字: 三星, Samsung, BSPDN

    三星在SEDEX 2022上,介绍了一种称为“BSPDN(背面供电网络)”的技术,称经过后端互联设计和逻辑优化,可以解决FSPDN(前端供电网络)造成的前端布线堵塞问题,2nm芯片的性能将提高44%,功率效率提高30%。在去年的VLSI研讨会上,三星又公布了最新的BSPDN研究成果,表示BSPDN相比FSPDN的面积可以减小14.8%。

    据相关媒体报道,三星正在推动BSPDN的应用,计划明年量产的2nm工艺引入背面供电技术。三星希望通过BSPDN改变游戏规则,让其能够更好地与台积电(TSMC)在代工业务上竞争。

      展开阅读 

    分享
    | 收藏 | 评论

  • 三星官宣业界首款36GB HBM3E 12H DRAM:12层堆叠,容量和带宽提升50%

    吕嘉俭 发布于2024-02-27 10:53 / 关键字: 三星, Samsung, HBM3E

    去年10月,三星举办了“Samsung Memory Tech Day 2023”活动,展示了一系列引领超大规模人工智能(AI)时代的创新技术和产品,并宣布推出名为“Shinebolt”的新一代HBM3E DRAM,面向下一代人工智能应用,提高总拥有成本(TCO),并加快数据中心的人工智能模型训练和推理速度。

    今天三星宣布已开发出业界首款HBM3E 12H DRAM,拥有12层堆叠。其提供了高达1280GB/s的带宽,加上36GB容量,均比起之前的8层堆栈产品提高了50%,是迄今为止带宽和容量最高的HBM产品。

      展开阅读 

    分享
    | 收藏 | 评论(1)

  7 8 9 10 11 12 13 14 15 16 ...205